aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorAlex Auvolat <alex@adnab.me>2024-03-04 15:56:10 +0100
committerAlex Auvolat <alex@adnab.me>2024-03-04 15:56:10 +0100
commitbbde9bc91225ac41ea6e8def61c5b7044bb186a0 (patch)
tree6e2bb951b1efb104c61d6e56aae84d7a6b036342
parentd0d95fd53f3d4a6fd5adcfbb4cbb031826fd64a4 (diff)
parent3168bb34a0082480660e945f7390a5ecab26c665 (diff)
downloadgarage-bbde9bc91225ac41ea6e8def61c5b7044bb186a0.tar.gz
garage-bbde9bc91225ac41ea6e8def61c5b7044bb186a0.zip
Merge branch 'main' into next-0.10
-rw-r--r--Cargo.lock24
-rw-r--r--Cargo.nix39
-rw-r--r--Cargo.toml1
-rw-r--r--doc/book/connect/backup.md4
-rw-r--r--doc/book/cookbook/real-world.md10
-rw-r--r--doc/book/quick-start/_index.md3
-rw-r--r--doc/book/reference-manual/configuration.md10
-rw-r--r--doc/book/reference-manual/features.md22
-rw-r--r--doc/talks/2024-02-29-capitoul/.gitignore17
-rw-r--r--doc/talks/2024-02-29-capitoul/Makefile10
-rw-r--r--doc/talks/2024-02-29-capitoul/talk.pdfbin0 -> 2211166 bytes
-rw-r--r--doc/talks/2024-02-29-capitoul/talk.tex543
-rw-r--r--doc/talks/assets/garage2a.drawio.pdfbin0 -> 33911 bytes
-rw-r--r--doc/talks/assets/garage2b.drawio.pdfbin0 -> 31051 bytes
-rw-r--r--doc/talks/assets/garage_tables.pdfbin0 -> 27273 bytes
-rw-r--r--doc/talks/assets/intro/slide1.pngbin0 -> 89059 bytes
-rw-r--r--doc/talks/assets/intro/slide2.pngbin0 -> 83364 bytes
-rw-r--r--doc/talks/assets/intro/slide3.pngbin0 -> 127275 bytes
-rw-r--r--doc/talks/assets/intro/slideB1.pngbin0 -> 86072 bytes
-rw-r--r--doc/talks/assets/intro/slideB2.pngbin0 -> 83399 bytes
-rw-r--r--doc/talks/assets/intro/slideB3.pngbin0 -> 82581 bytes
-rw-r--r--doc/talks/assets/intro/slides.svg4326
-rw-r--r--doc/talks/assets/intro/slidesB.svg444
-rw-r--r--script/helm/garage/Chart.yaml2
-rwxr-xr-xscript/test-smoke.sh4
-rw-r--r--src/api/Cargo.toml1
-rw-r--r--src/api/admin/api_server.rs58
-rw-r--r--src/api/helpers.rs14
-rw-r--r--src/api/k2v/api_server.rs60
-rw-r--r--src/api/k2v/batch.rs51
-rw-r--r--src/api/k2v/index.rs12
-rw-r--r--src/api/k2v/item.rs38
-rw-r--r--src/api/s3/api_server.rs227
-rw-r--r--src/api/s3/bucket.rs48
-rw-r--r--src/api/s3/copy.rs35
-rw-r--r--src/api/s3/cors.rs65
-rw-r--r--src/api/s3/delete.rs29
-rw-r--r--src/api/s3/get.rs21
-rw-r--r--src/api/s3/lifecycle.rs53
-rw-r--r--src/api/s3/list.rs14
-rw-r--r--src/api/s3/multipart.rs68
-rw-r--r--src/api/s3/post_object.rs54
-rw-r--r--src/api/s3/put.rs68
-rw-r--r--src/api/s3/website.rs54
-rw-r--r--src/api/signature/mod.rs29
-rw-r--r--src/api/signature/payload.rs615
-rw-r--r--src/api/signature/streaming.rs13
-rw-r--r--src/garage/tests/common/custom_requester.rs87
-rw-r--r--src/garage/tests/s3/mod.rs1
-rw-r--r--src/garage/tests/s3/presigned.rs72
-rw-r--r--src/garage/tests/s3/streaming_signature.rs2
-rw-r--r--src/model/bucket_table.rs7
-rw-r--r--src/net/Cargo.toml2
-rw-r--r--src/web/web_server.rs29
54 files changed, 6524 insertions, 762 deletions
diff --git a/Cargo.lock b/Cargo.lock
index 7ce1ca1d..50f5d4c4 100644
--- a/Cargo.lock
+++ b/Cargo.lock
@@ -121,6 +121,18 @@ source = "registry+https://github.com/rust-lang/crates.io-index"
checksum = "bddcadddf5e9015d310179a59bb28c4d4b9920ad0f11e8e14dbadf654890c9a6"
[[package]]
+name = "argon2"
+version = "0.5.3"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "3c3610892ee6e0cbce8ae2700349fcf8f98adb0dbfbee85aec3c9179d29cc072"
+dependencies = [
+ "base64ct",
+ "blake2",
+ "cpufeatures",
+ "password-hash",
+]
+
+[[package]]
name = "arrayvec"
version = "0.5.2"
source = "registry+https://github.com/rust-lang/crates.io-index"
@@ -1321,6 +1333,7 @@ dependencies = [
name = "garage_api"
version = "0.10.0"
dependencies = [
+ "argon2",
"async-trait",
"base64 0.21.7",
"bytes",
@@ -2800,6 +2813,17 @@ dependencies = [
]
[[package]]
+name = "password-hash"
+version = "0.5.0"
+source = "registry+https://github.com/rust-lang/crates.io-index"
+checksum = "346f04948ba92c43e8469c1ee6736c7563d71012b17d40745260fe106aac2166"
+dependencies = [
+ "base64ct",
+ "rand_core",
+ "subtle",
+]
+
+[[package]]
name = "paste"
version = "1.0.14"
source = "registry+https://github.com/rust-lang/crates.io-index"
diff --git a/Cargo.nix b/Cargo.nix
index 0acd15bd..b2081891 100644
--- a/Cargo.nix
+++ b/Cargo.nix
@@ -34,7 +34,7 @@ args@{
ignoreLockHash,
}:
let
- nixifiedLockHash = "78a919892c20922859f8146234cfb36542303861f757e6ebb11d010965285f04";
+ nixifiedLockHash = "263873397c8aa960f9ef6a815187218ab9c58b5ab35bbeb9c3dc70d032dcc963";
workspaceSrc = if args.workspaceSrc == null then ./. else args.workspaceSrc;
currentLockHash = builtins.hashFile "sha256" (workspaceSrc + /Cargo.lock);
lockHashIgnored = if ignoreLockHash
@@ -235,6 +235,25 @@ in
src = fetchCratesIo { inherit name version; sha256 = "bddcadddf5e9015d310179a59bb28c4d4b9920ad0f11e8e14dbadf654890c9a6"; };
});
+ "registry+https://github.com/rust-lang/crates.io-index".argon2."0.5.3" = overridableMkRustCrate (profileName: rec {
+ name = "argon2";
+ version = "0.5.3";
+ registry = "registry+https://github.com/rust-lang/crates.io-index";
+ src = fetchCratesIo { inherit name version; sha256 = "3c3610892ee6e0cbce8ae2700349fcf8f98adb0dbfbee85aec3c9179d29cc072"; };
+ features = builtins.concatLists [
+ [ "alloc" ]
+ [ "default" ]
+ [ "password-hash" ]
+ [ "rand" ]
+ ];
+ dependencies = {
+ base64ct = (rustPackages."registry+https://github.com/rust-lang/crates.io-index".base64ct."1.6.0" { inherit profileName; }).out;
+ blake2 = (rustPackages."registry+https://github.com/rust-lang/crates.io-index".blake2."0.10.6" { inherit profileName; }).out;
+ ${ if hostPlatform.parsed.cpu.name == "i686" || hostPlatform.parsed.cpu.name == "x86_64" then "cpufeatures" else null } = (rustPackages."registry+https://github.com/rust-lang/crates.io-index".cpufeatures."0.2.12" { inherit profileName; }).out;
+ password_hash = (rustPackages."registry+https://github.com/rust-lang/crates.io-index".password-hash."0.5.0" { inherit profileName; }).out;
+ };
+ });
+
"registry+https://github.com/rust-lang/crates.io-index".arrayvec."0.5.2" = overridableMkRustCrate (profileName: rec {
name = "arrayvec";
version = "0.5.2";
@@ -1939,6 +1958,7 @@ in
(lib.optional (rootFeatures' ? "garage/default" || rootFeatures' ? "garage/metrics" || rootFeatures' ? "garage_api/metrics" || rootFeatures' ? "garage_api/prometheus") "prometheus")
];
dependencies = {
+ argon2 = (rustPackages."registry+https://github.com/rust-lang/crates.io-index".argon2."0.5.3" { inherit profileName; }).out;
async_trait = (buildRustPackages."registry+https://github.com/rust-lang/crates.io-index".async-trait."0.1.77" { profileName = "__noProfile"; }).out;
base64 = (rustPackages."registry+https://github.com/rust-lang/crates.io-index".base64."0.21.7" { inherit profileName; }).out;
bytes = (rustPackages."registry+https://github.com/rust-lang/crates.io-index".bytes."1.5.0" { inherit profileName; }).out;
@@ -3989,6 +4009,23 @@ in
};
});
+ "registry+https://github.com/rust-lang/crates.io-index".password-hash."0.5.0" = overridableMkRustCrate (profileName: rec {
+ name = "password-hash";
+ version = "0.5.0";
+ registry = "registry+https://github.com/rust-lang/crates.io-index";
+ src = fetchCratesIo { inherit name version; sha256 = "346f04948ba92c43e8469c1ee6736c7563d71012b17d40745260fe106aac2166"; };
+ features = builtins.concatLists [
+ [ "alloc" ]
+ [ "default" ]
+ [ "rand_core" ]
+ ];
+ dependencies = {
+ base64ct = (rustPackages."registry+https://github.com/rust-lang/crates.io-index".base64ct."1.6.0" { inherit profileName; }).out;
+ rand_core = (rustPackages."registry+https://github.com/rust-lang/crates.io-index".rand_core."0.6.4" { inherit profileName; }).out;
+ subtle = (rustPackages."registry+https://github.com/rust-lang/crates.io-index".subtle."2.5.0" { inherit profileName; }).out;
+ };
+ });
+
"registry+https://github.com/rust-lang/crates.io-index".paste."1.0.14" = overridableMkRustCrate (profileName: rec {
name = "paste";
version = "1.0.14";
diff --git a/Cargo.toml b/Cargo.toml
index ec89c325..8e9187ca 100644
--- a/Cargo.toml
+++ b/Cargo.toml
@@ -34,6 +34,7 @@ k2v-client = { version = "0.0.4", path = "src/k2v-client" }
# External crates from crates.io
arc-swap = "1.0"
+argon2 = "0.5"
async-trait = "0.1.7"
backtrace = "0.3"
base64 = "0.21"
diff --git a/doc/book/connect/backup.md b/doc/book/connect/backup.md
index 585ec469..f39cc3b6 100644
--- a/doc/book/connect/backup.md
+++ b/doc/book/connect/backup.md
@@ -55,8 +55,8 @@ Create your key and bucket:
```bash
garage key create my-key
-garage bucket create backup
-garage bucket allow backup --read --write --key my-key
+garage bucket create backups
+garage bucket allow backups --read --write --key my-key
```
Then register your Key ID and Secret key in your environment:
diff --git a/doc/book/cookbook/real-world.md b/doc/book/cookbook/real-world.md
index ce0abddd..c15ea384 100644
--- a/doc/book/cookbook/real-world.md
+++ b/doc/book/cookbook/real-world.md
@@ -85,14 +85,14 @@ to store 2 TB of data in total.
## Get a Docker image
Our docker image is currently named `dxflrs/garage` and is stored on the [Docker Hub](https://hub.docker.com/r/dxflrs/garage/tags?page=1&ordering=last_updated).
-We encourage you to use a fixed tag (eg. `v0.9.1`) and not the `latest` tag.
-For this example, we will use the latest published version at the time of the writing which is `v0.9.1` but it's up to you
+We encourage you to use a fixed tag (eg. `v0.9.3`) and not the `latest` tag.
+For this example, we will use the latest published version at the time of the writing which is `v0.9.3` but it's up to you
to check [the most recent versions on the Docker Hub](https://hub.docker.com/r/dxflrs/garage/tags?page=1&ordering=last_updated).
For example:
```
-sudo docker pull dxflrs/garage:v0.9.1
+sudo docker pull dxflrs/garage:v0.9.3
```
## Deploying and configuring Garage
@@ -157,7 +157,7 @@ docker run \
-v /etc/garage.toml:/etc/garage.toml \
-v /var/lib/garage/meta:/var/lib/garage/meta \
-v /var/lib/garage/data:/var/lib/garage/data \
- dxflrs/garage:v0.9.1
+ dxflrs/garage:v0.9.3
```
With this command line, Garage should be started automatically at each boot.
@@ -171,7 +171,7 @@ If you want to use `docker-compose`, you may use the following `docker-compose.y
version: "3"
services:
garage:
- image: dxflrs/garage:v0.9.1
+ image: dxflrs/garage:v0.9.3
network_mode: "host"
restart: unless-stopped
volumes:
diff --git a/doc/book/quick-start/_index.md b/doc/book/quick-start/_index.md
index acfefb07..f359843d 100644
--- a/doc/book/quick-start/_index.md
+++ b/doc/book/quick-start/_index.md
@@ -79,8 +79,9 @@ index = "index.html"
api_bind_addr = "[::]:3904"
[admin]
-api_bind_addr = "0.0.0.0:3903"
+api_bind_addr = "[::]:3903"
admin_token = "$(openssl rand -base64 32)"
+metrics_token = "$(openssl rand -base64 32)"
EOF
```
diff --git a/doc/book/reference-manual/configuration.md b/doc/book/reference-manual/configuration.md
index f1474613..af7690f4 100644
--- a/doc/book/reference-manual/configuration.md
+++ b/doc/book/reference-manual/configuration.md
@@ -69,8 +69,8 @@ root_domain = ".web.garage"
[admin]
api_bind_addr = "0.0.0.0:3903"
-metrics_token = "cacce0b2de4bc2d9f5b5fdff551e01ac1496055aed248202d415398987e35f81"
-admin_token = "ae8cb40ea7368bbdbb6430af11cca7da833d3458a5f52086f4e805a570fb5c2a"
+metrics_token = "BCAdFjoa9G0KJR0WXnHHm7fs1ZAbfpI8iIZ+Z/a2NgI="
+admin_token = "UkLeGWEvHnXBqnueR3ISEMWpOnm40jH2tM2HnnL/0F4="
trace_sink = "http://localhost:4317"
```
@@ -417,7 +417,7 @@ the node, even in the case of a NAT: the NAT should be configured to forward the
port number to the same internal port nubmer. This means that if you have several nodes running
behind a NAT, they should each use a different RPC port number.
-#### `rpc_bind_outgoing` {#rpc_bind_outgoing} (since v0.9.2)
+#### `rpc_bind_outgoing`(since v0.9.2) {#rpc_bind_outgoing}
If enabled, pre-bind all sockets for outgoing connections to the same IP address
used for listening (the IP address specified in `rpc_bind_addr`) before
@@ -612,7 +612,7 @@ the socket will have 0220 mode. Make sure to set user and group permissions acco
The token for accessing the Metrics endpoint. If this token is not set, the
Metrics endpoint can be accessed without access control.
-You can use any random string for this value. We recommend generating a random token with `openssl rand -hex 32`.
+You can use any random string for this value. We recommend generating a random token with `openssl rand -base64 32`.
`metrics_token` was introduced in Garage `v0.7.2`.
`metrics_token_file` and the `GARAGE_METRICS_TOKEN` environment variable are supported since Garage `v0.8.2`.
@@ -624,7 +624,7 @@ You can use any random string for this value. We recommend generating a random t
The token for accessing all of the other administration endpoints. If this
token is not set, access to these endpoints is disabled entirely.
-You can use any random string for this value. We recommend generating a random token with `openssl rand -hex 32`.
+You can use any random string for this value. We recommend generating a random token with `openssl rand -base64 32`.
`admin_token` was introduced in Garage `v0.7.2`.
`admin_token_file` and the `GARAGE_ADMIN_TOKEN` environment variable are supported since Garage `v0.8.2`.
diff --git a/doc/book/reference-manual/features.md b/doc/book/reference-manual/features.md
index e8ba9510..f7014b26 100644
--- a/doc/book/reference-manual/features.md
+++ b/doc/book/reference-manual/features.md
@@ -37,6 +37,21 @@ A Garage cluster can very easily evolve over time, as storage nodes are added or
Garage will automatically rebalance data between nodes as needed to ensure the desired number of copies.
Read about cluster layout management [here](@/documentation/operations/layout.md).
+### Several replication modes
+
+Garage supports a variety of replication modes, with 1 copy, 2 copies or 3 copies of your data,
+and with various levels of consistency, in order to adapt to a variety of usage scenarios.
+Read our reference page on [supported replication modes](@/documentation/reference-manual/configuration.md#replication_mode)
+to select the replication mode best suited to your use case (hint: in most cases, `replication_mode = "3"` is what you want).
+
+### Compression and deduplication
+
+All data stored in Garage is deduplicated, and optionnally compressed using
+Zstd. Objects uploaded to Garage are chunked in blocks of constant sizes (see
+[`block_size`](@/documentation/reference-manual/configuration.md#block_size)),
+and the hashes of individual blocks are used to dispatch them to storage nodes
+and to deduplicate them.
+
### No RAFT slowing you down
It might seem strange to tout the absence of something as a desirable feature,
@@ -48,13 +63,6 @@ As a consequence, requests can be handled much faster, even in cases where laten
between cluster nodes is important (see our [benchmarks](@/documentation/design/benchmarks/index.md) for data on this).
This is particularly usefull when nodes are far from one another and talk to one other through standard Internet connections.
-### Several replication modes
-
-Garage supports a variety of replication modes, with 1 copy, 2 copies or 3 copies of your data,
-and with various levels of consistency, in order to adapt to a variety of usage scenarios.
-Read our reference page on [supported replication modes](@/documentation/reference-manual/configuration.md#replication_mode)
-to select the replication mode best suited to your use case (hint: in most cases, `replication_mode = "3"` is what you want).
-
### Web server for static websites
A storage bucket can easily be configured to be served directly by Garage as a static web site.
diff --git a/doc/talks/2024-02-29-capitoul/.gitignore b/doc/talks/2024-02-29-capitoul/.gitignore
new file mode 100644
index 00000000..9f1f00e6
--- /dev/null
+++ b/doc/talks/2024-02-29-capitoul/.gitignore
@@ -0,0 +1,17 @@
+*
+
+!*.txt
+!*.md
+
+!assets
+
+!.gitignore
+!*.svg
+!*.png
+!*.jpg
+!*.tex
+!Makefile
+!.gitignore
+!assets/*.drawio.pdf
+
+!talk.pdf
diff --git a/doc/talks/2024-02-29-capitoul/Makefile b/doc/talks/2024-02-29-capitoul/Makefile
new file mode 100644
index 00000000..aa9c35af
--- /dev/null
+++ b/doc/talks/2024-02-29-capitoul/Makefile
@@ -0,0 +1,10 @@
+ASSETS=../assets/logos/deuxfleurs.pdf
+
+talk.pdf: talk.tex $(ASSETS)
+ pdflatex talk.tex
+
+%.pdf: %.svg
+ inkscape -D -z --file=$^ --export-pdf=$@
+
+%.pdf_tex: %.svg
+ inkscape -D -z --file=$^ --export-pdf=$@ --export-latex
diff --git a/doc/talks/2024-02-29-capitoul/talk.pdf b/doc/talks/2024-02-29-capitoul/talk.pdf
new file mode 100644
index 00000000..33a63e23
--- /dev/null
+++ b/doc/talks/2024-02-29-capitoul/talk.pdf
Binary files differ
diff --git a/doc/talks/2024-02-29-capitoul/talk.tex b/doc/talks/2024-02-29-capitoul/talk.tex
new file mode 100644
index 00000000..95122b24
--- /dev/null
+++ b/doc/talks/2024-02-29-capitoul/talk.tex
@@ -0,0 +1,543 @@
+\nonstopmode
+\documentclass[aspectratio=169,xcolor={svgnames}]{beamer}
+\usepackage[utf8]{inputenc}
+% \usepackage[frenchb]{babel}
+\usepackage{amsmath}
+\usepackage{mathtools}
+\usepackage{breqn}
+\usepackage{multirow}
+\usetheme{boxes}
+\usepackage{graphicx}
+\usepackage{import}
+\usepackage{adjustbox}
+\usepackage[absolute,overlay]{textpos}
+%\useoutertheme[footline=authortitle,subsection=false]{miniframes}
+%\useoutertheme[footline=authorinstitute,subsection=false]{miniframes}
+\useoutertheme{infolines}
+\setbeamertemplate{headline}{}
+
+\beamertemplatenavigationsymbolsempty
+
+\definecolor{TitleOrange}{RGB}{255,137,0}
+\setbeamercolor{title}{fg=TitleOrange}
+\setbeamercolor{frametitle}{fg=TitleOrange}
+
+\definecolor{ListOrange}{RGB}{255,145,5}
+\setbeamertemplate{itemize item}{\color{ListOrange}$\blacktriangleright$}
+
+\definecolor{verygrey}{RGB}{70,70,70}
+\setbeamercolor{normal text}{fg=verygrey}
+
+
+\usepackage{tabu}
+\usepackage{multicol}
+\usepackage{vwcol}
+\usepackage{stmaryrd}
+\usepackage{graphicx}
+
+\usepackage[normalem]{ulem}
+
+\AtBeginSection[]{
+ \begin{frame}
+ \vfill
+ \centering
+ \begin{beamercolorbox}[sep=8pt,center,shadow=true,rounded=true]{title}
+ \usebeamerfont{title}\insertsectionhead\par%
+ \end{beamercolorbox}
+ \vfill
+ \end{frame}
+}
+
+\title{Garage}
+\author{Alex Auvolat, Deuxfleurs}
+\date{Capitoul, 2024-02-29}
+
+\begin{document}
+
+\begin{frame}
+ \centering
+ \includegraphics[width=.3\linewidth]{../../sticker/Garage.png}
+ \vspace{1em}
+
+ {\large\bf Alex Auvolat, Deuxfleurs Association}
+ \vspace{1em}
+
+ \url{https://garagehq.deuxfleurs.fr/}
+
+ Matrix channel: \texttt{\#garage:deuxfleurs.fr}
+\end{frame}
+
+\begin{frame}
+ \frametitle{Who I am}
+ \begin{columns}[t]
+ \begin{column}{.2\textwidth}
+ \centering
+ \adjincludegraphics[width=.4\linewidth, valign=t]{../assets/alex.jpg}
+ \end{column}
+ \begin{column}{.6\textwidth}
+ \textbf{Alex Auvolat}\\
+ PhD; co-founder of Deuxfleurs
+ \end{column}
+ \begin{column}{.2\textwidth}
+ ~
+ \end{column}
+ \end{columns}
+ \vspace{2em}
+
+ \begin{columns}[t]
+ \begin{column}{.2\textwidth}
+ \centering
+ \adjincludegraphics[width=.5\linewidth, valign=t]{../assets/logos/deuxfleurs.pdf}
+ \end{column}
+ \begin{column}{.6\textwidth}
+ \textbf{Deuxfleurs}\\
+ A non-profit self-hosting collective,\\
+ member of the CHATONS network
+ \end{column}
+ \begin{column}{.2\textwidth}
+ \centering
+ \adjincludegraphics[width=.7\linewidth, valign=t]{../assets/logos/logo_chatons.png}
+ \end{column}
+ \end{columns}
+
+\end{frame}
+
+\begin{frame}
+ \frametitle{Our objective at Deuxfleurs}
+
+ \begin{center}
+ \textbf{Promote self-hosting and small-scale hosting\\
+ as an alternative to large cloud providers}
+ \end{center}
+ \vspace{2em}
+ \visible<2->{
+ Why is it hard?
+ \vspace{2em}
+ \begin{center}
+ \textbf{\underline{Resilience}}\\
+ {\footnotesize we want good uptime/availability with low supervision}
+ \end{center}
+ }
+\end{frame}
+
+\begin{frame}
+ \frametitle{Our very low-tech infrastructure}
+
+ \only<1,3-6>{
+ \begin{itemize}
+ \item \textcolor<4->{gray}{Commodity hardware (e.g. old desktop PCs)\\
+ \vspace{.5em}
+ \visible<3->{{\footnotesize (can die at any time)}}}
+ \vspace{1.5em}
+ \item<4-> \textcolor<6->{gray}{Regular Internet (e.g. FTTB, FTTH) and power grid connections\\
+ \vspace{.5em}
+ \visible<5->{{\footnotesize (can be unavailable randomly)}}}
+ \vspace{1.5em}
+ \item<6-> \textbf{Geographical redundancy} (multi-site replication)
+ \end{itemize}
+ }
+ \only<2>{
+ \begin{center}
+ \includegraphics[width=.8\linewidth]{../assets/neptune.jpg}
+ \end{center}
+ }
+ \only<7>{
+ \begin{center}
+ \includegraphics[width=.8\linewidth]{../assets/inframap_jdll2023.pdf}
+ \end{center}
+ }
+\end{frame}
+
+\begin{frame}
+ \frametitle{How to make this happen}
+ \begin{center}
+ \only<1>{\includegraphics[width=.8\linewidth]{../assets/intro/slide1.png}}%
+ \only<2>{\includegraphics[width=.8\linewidth]{../assets/intro/slide2.png}}%
+ \only<3>{\includegraphics[width=.8\linewidth]{../assets/intro/slide3.png}}%
+ \end{center}
+\end{frame}
+
+\begin{frame}
+ \frametitle{Distributed file systems are slow}
+ File systems are complex, for example:
+ \vspace{1em}
+ \begin{itemize}
+ \item Concurrent modification by several processes
+ \vspace{1em}
+ \item Folder hierarchies
+ \vspace{1em}
+ \item Other requirements of the POSIX spec (e.g.~locks)
+ \end{itemize}
+ \vspace{1em}
+ Coordination in a distributed system is costly
+
+ \vspace{1em}
+ Costs explode with commodity hardware / Internet connections\\
+ {\small (we experienced this!)}
+\end{frame}
+
+\begin{frame}
+ \frametitle{A simpler solution: object storage}
+ Only two operations:
+ \vspace{1em}
+ \begin{itemize}
+ \item Put an object at a key
+ \vspace{1em}
+ \item Retrieve an object from its key
+ \end{itemize}
+ \vspace{1em}
+ {\footnotesize (and a few others)}
+
+ \vspace{1em}
+ Sufficient for many applications!
+\end{frame}
+
+\begin{frame}
+ \frametitle{A simpler solution: object storage}
+ \begin{center}
+ \includegraphics[height=6em]{../assets/logos/Amazon-S3.jpg}
+ \hspace{3em}
+ \visible<2->{\includegraphics[height=5em]{../assets/logos/minio.png}}
+ \hspace{3em}
+ \visible<3>{\includegraphics[height=6em]{../../logo/garage_hires_crop.png}}
+ \end{center}
+ \vspace{1em}
+ S3: a de-facto standard, many compatible applications
+
+ \vspace{1em}
+ \visible<2->{MinIO is self-hostable but not suited for geo-distributed deployments}
+
+ \vspace{1em}
+ \visible<3->{\textbf{Garage is a self-hosted drop-in replacement for the Amazon S3 object store}}
+\end{frame}
+
+% --------- BASED ON CRDTS ----------
+
+\section{Principle 1: based on CRDTs}
+
+\begin{frame}
+ \frametitle{CRDTs / weak consistency instead of consensus}
+
+ \underline{Internally, Garage uses only CRDTs} (conflict-free replicated data types)
+
+ \vspace{2em}
+ Why not Raft, Paxos, ...? Issues of consensus algorithms:
+
+ \vspace{1em}
+ \begin{itemize}
+ \item<2-> \textbf{Software complexity}
+ \vspace{1em}
+ \item<3-> \textbf{Performance issues:}
+ \vspace{.5em}
+ \begin{itemize}
+ \item<4-> The leader is a \textbf{bottleneck} for all requests\\
+ \vspace{.5em}
+ \item<5-> \textbf{Sensitive to higher latency} between nodes
+ \vspace{.5em}
+ \item<6-> \textbf{Takes time to reconverge} when disrupted (e.g. node going down)
+ \end{itemize}
+ \end{itemize}
+\end{frame}
+
+\begin{frame}
+ \frametitle{The data model of object storage}
+ Object storage is basically a \textbf{key-value store}:
+ \vspace{.5em}
+
+ {\scriptsize
+ \begin{center}
+ \begin{tabular}{|l|p{7cm}|}
+ \hline
+ \textbf{Key: file path + name} & \textbf{Value: file data + metadata} \\
+ \hline
+ \hline
+ \texttt{index.html} &
+ \texttt{Content-Type: text/html; charset=utf-8} \newline
+ \texttt{Content-Length: 24929} \newline
+ \texttt{<binary blob>} \\
+ \hline
+ \texttt{img/logo.svg} &
+ \texttt{Content-Type: text/svg+xml} \newline
+ \texttt{Content-Length: 13429} \newline
+ \texttt{<binary blob>} \\
+ \hline
+ \texttt{download/index.html} &
+ \texttt{Content-Type: text/html; charset=utf-8} \newline
+ \texttt{Content-Length: 26563} \newline
+ \texttt{<binary blob>} \\
+ \hline
+ \end{tabular}
+ \end{center}
+ }
+
+ \vspace{.5em}
+ \begin{itemize}
+ \item<2-> Maps well to CRDT data types
+ \item<3> Read-after-write consistency with quorums
+ \end{itemize}
+\end{frame}
+
+
+\begin{frame}
+ \frametitle{Performance gains in practice}
+ \begin{center}
+ \includegraphics[width=.8\linewidth]{../assets/perf/endpoint_latency_0.7_0.8_minio.png}
+ \end{center}
+\end{frame}
+
+% --------- GEO-DISTRIBUTED MODEL ----------
+
+\section{Principle 2: geo-distributed data model}
+
+\begin{frame}
+ \frametitle{Key-value stores, upgraded: the Dynamo model}
+ \textbf{Two keys:}
+ \begin{itemize}
+ \item Partition key: used to divide data into partitions {\small (a.k.a.~shards)}
+ \item Sort key: used to identify items inside a partition
+ \end{itemize}
+
+ \vspace{1em}
+
+ \begin{center}
+ \begin{tabular}{|l|l|p{3cm}|}
+ \hline
+ \textbf{Partition key: bucket} & \textbf{Sort key: filename} & \textbf{Value} \\
+ \hline
+ \hline
+ \texttt{website} & \texttt{index.html} & (file data) \\
+ \hline
+ \texttt{website} & \texttt{img/logo.svg} & (file data) \\
+ \hline
+ \texttt{website} & \texttt{download/index.html} & (file data) \\
+ \hline
+ \hline
+ \texttt{backup} & \texttt{borg/index.2822} & (file data) \\
+ \hline
+ \texttt{backup} & \texttt{borg/data/2/2329} & (file data) \\
+ \hline
+ \texttt{backup} & \texttt{borg/data/2/2680} & (file data) \\
+ \hline
+ \hline
+ \texttt{private} & \texttt{qq3a2nbe1qjq0ebbvo6ocsp6co} & (file data) \\
+ \hline
+ \end{tabular}
+ \end{center}
+\end{frame}
+
+
+\begin{frame}
+ \frametitle{Layout computation}
+ \begin{overprint}
+ \onslide<1>
+ \begin{center}
+ \includegraphics[width=\linewidth, trim=0 0 0 -4cm]{../assets/screenshots/garage_status_0.9_prod_zonehl.png}
+ \end{center}
+ \onslide<2>
+ \begin{center}
+ \includegraphics[width=.7\linewidth]{../assets/map.png}
+ \end{center}
+ \end{overprint}
+ \vspace{1em}
+ Garage stores replicas on different zones when possible
+\end{frame}
+
+\begin{frame}
+ \frametitle{What a "layout" is}
+ \textbf{A layout is a precomputed index table:}
+ \vspace{1em}
+
+ {\footnotesize
+ \begin{center}
+ \begin{tabular}{|l|l|l|l|}
+ \hline
+ \textbf{Partition} & \textbf{Node 1} & \textbf{Node 2} & \textbf{Node 3} \\
+ \hline
+ \hline
+ Partition 0 & df-ymk (bespin) & Abricot (scorpio) & Courgette (neptune) \\
+ \hline
+ Partition 1 & Ananas (scorpio) & Courgette (neptune) & df-ykl (bespin) \\
+ \hline
+ Partition 2 & df-ymf (bespin) & Celeri (neptune) & Abricot (scorpio) \\
+ \hline
+ \hspace{1em}$\vdots$ & \hspace{1em}$\vdots$ & \hspace{1em}$\vdots$ & \hspace{1em}$\vdots$ \\
+ \hline
+ Partition 255 & Concombre (neptune) & df-ykl (bespin) & Abricot (scorpio) \\
+ \hline
+ \end{tabular}
+ \end{center}
+ }
+
+ \vspace{2em}
+ \visible<2->{
+ The index table is built centrally using an optimal algorithm,\\
+ then propagated to all nodes
+ }
+
+ \vspace{1em}
+ \visible<3->{
+ \footnotesize
+ Oulamara, M., \& Auvolat, A. (2023). \emph{An algorithm for geo-distributed and redundant storage in Garage}.\\ arXiv preprint arXiv:2302.13798.
+ }
+\end{frame}
+
+
+\begin{frame}
+ \frametitle{The relationship between \emph{partition} and \emph{partition key}}
+ \begin{center}
+ \begin{tabular}{|l|l|l|l|}
+ \hline
+ \textbf{Partition key} & \textbf{Partition} & \textbf{Sort key} & \textbf{Value} \\
+ \hline
+ \hline
+ \texttt{website} & Partition 12 & \texttt{index.html} & (file data) \\
+ \hline
+ \texttt{website} & Partition 12 & \texttt{img/logo.svg} & (file data) \\
+ \hline
+ \texttt{website} & Partition 12 &\texttt{download/index.html} & (file data) \\
+ \hline
+ \hline
+ \texttt{backup} & Partition 42 & \texttt{borg/index.2822} & (file data) \\
+ \hline
+ \texttt{backup} & Partition 42 & \texttt{borg/data/2/2329} & (file data) \\
+ \hline
+ \texttt{backup} & Partition 42 & \texttt{borg/data/2/2680} & (file data) \\
+ \hline
+ \hline
+ \texttt{private} & Partition 42 & \texttt{qq3a2nbe1qjq0ebbvo6ocsp6co} & (file data) \\
+ \hline
+ \end{tabular}
+ \end{center}
+ \vspace{1em}
+ \textbf{To read or write an item:} hash partition key
+ \\ \hspace{5cm} $\to$ determine partition number (first 8 bits)
+ \\ \hspace{5cm} $\to$ find associated nodes
+\end{frame}
+
+\begin{frame}
+ \frametitle{Garage's internal data structures}
+ \centering
+ \includegraphics[width=.75\columnwidth]{../assets/garage_tables.pdf}
+\end{frame}
+
+% ---------- OPERATING GARAGE ---------
+
+\section{Operating Garage clusters}
+
+\begin{frame}
+ \frametitle{Operating Garage}
+ \begin{center}
+ \only<1-2>{
+ \includegraphics[width=.9\linewidth]{../assets/screenshots/garage_status_0.10.png}
+ \\\vspace{1em}
+ \visible<2>{\includegraphics[width=.9\linewidth]{../assets/screenshots/garage_status_unhealthy_0.10.png}}
+ }
+ \end{center}
+\end{frame}
+
+\begin{frame}
+ \frametitle{Background synchronization}
+ \begin{center}
+ \includegraphics[width=.6\linewidth]{../assets/garage_sync.drawio.pdf}
+ \end{center}
+\end{frame}
+
+\begin{frame}
+ \frametitle{Digging deeper}
+ \begin{center}
+ \only<1>{\includegraphics[width=.9\linewidth]{../assets/screenshots/garage_stats_0.10.png}}
+ \only<2>{\includegraphics[width=.5\linewidth]{../assets/screenshots/garage_worker_list_0.10.png}}
+ \only<3>{\includegraphics[width=.6\linewidth]{../assets/screenshots/garage_worker_param_0.10.png}}
+ \end{center}
+\end{frame}
+
+\begin{frame}
+ \frametitle{Monitoring with Prometheus + Grafana}
+ \begin{center}
+ \includegraphics[width=.9\linewidth]{../assets/screenshots/grafana_dashboard.png}
+ \end{center}
+\end{frame}
+
+\begin{frame}
+ \frametitle{Debugging with traces}
+ \begin{center}
+ \includegraphics[width=.8\linewidth]{../assets/screenshots/jaeger_listobjects.png}
+ \end{center}
+\end{frame}
+
+% ---------- SCALING GARAGE ---------
+
+\section{Scaling Garage clusters}
+
+\begin{frame}
+ \frametitle{Potential limitations and bottlenecks}
+ \begin{itemize}
+ \item Global:
+ \begin{itemize}
+ \item Max. $\sim$100 nodes per cluster (excluding gateways)
+ \end{itemize}
+ \vspace{1em}
+ \item Metadata:
+ \begin{itemize}
+ \item One big bucket = bottleneck, object list on 3 nodes only
+ \end{itemize}
+ \vspace{1em}
+ \item Block manager:
+ \begin{itemize}
+ \item Lots of small files on disk
+ \item Processing the resync queue can be slow
+ \end{itemize}
+ \end{itemize}
+\end{frame}
+
+\begin{frame}
+ \frametitle{Deployment advice for very large clusters}
+ \begin{itemize}
+ \item Metadata storage:
+ \begin{itemize}
+ \item ZFS mirror (x2) on fast NVMe
+ \item Use LMDB storage engine
+ \end{itemize}
+ \vspace{.5em}
+ \item Data block storage:
+ \begin{itemize}
+ \item Use Garage's native multi-HDD support
+ \item XFS on individual drives
+ \item Increase block size (1MB $\to$ 10MB, requires more RAM and good networking)
+ \item Tune \texttt{resync-tranquility} and \texttt{resync-worker-count} dynamically
+ \end{itemize}
+ \vspace{.5em}
+ \item Other :
+ \begin{itemize}
+ \item Split data over several buckets
+ \item Use less than 100 storage nodes
+ \item Use gateway nodes
+ \end{itemize}
+ \vspace{.5em}
+ \end{itemize}
+ Our deployments: $< 10$ TB. Some people have done more!
+\end{frame}
+
+
+% ======================================== END
+% ======================================== END
+% ======================================== END
+
+\begin{frame}
+ \frametitle{Where to find us}
+ \begin{center}
+ \includegraphics[width=.25\linewidth]{../../logo/garage_hires.png}\\
+ \vspace{-1em}
+ \url{https://garagehq.deuxfleurs.fr/}\\
+ \url{mailto:garagehq@deuxfleurs.fr}\\
+ \texttt{\#garage:deuxfleurs.fr} on Matrix
+
+ \vspace{1.5em}
+ \includegraphics[width=.06\linewidth]{../assets/logos/rust_logo.png}
+ \includegraphics[width=.13\linewidth]{../assets/logos/AGPLv3_Logo.png}
+ \end{center}
+\end{frame}
+
+\end{document}
+
+%% vim: set ts=4 sw=4 tw=0 noet spelllang=en :
diff --git a/doc/talks/assets/garage2a.drawio.pdf b/doc/talks/assets/garage2a.drawio.pdf
new file mode 100644
index 00000000..422c9343
--- /dev/null
+++ b/doc/talks/assets/garage2a.drawio.pdf
Binary files differ
diff --git a/doc/talks/assets/garage2b.drawio.pdf b/doc/talks/assets/garage2b.drawio.pdf
new file mode 100644
index 00000000..05a9710e
--- /dev/null
+++ b/doc/talks/assets/garage2b.drawio.pdf
Binary files differ
diff --git a/doc/talks/assets/garage_tables.pdf b/doc/talks/assets/garage_tables.pdf
new file mode 100644
index 00000000..3c54cdce
--- /dev/null
+++ b/doc/talks/assets/garage_tables.pdf
Binary files differ
diff --git a/doc/talks/assets/intro/slide1.png b/doc/talks/assets/intro/slide1.png
new file mode 100644
index 00000000..eb2e67a0
--- /dev/null
+++ b/doc/talks/assets/intro/slide1.png
Binary files differ
diff --git a/doc/talks/assets/intro/slide2.png b/doc/talks/assets/intro/slide2.png
new file mode 100644
index 00000000..126a39b8
--- /dev/null
+++ b/doc/talks/assets/intro/slide2.png
Binary files differ
diff --git a/doc/talks/assets/intro/slide3.png b/doc/talks/assets/intro/slide3.png
new file mode 100644
index 00000000..a39f96bf
--- /dev/null
+++ b/doc/talks/assets/intro/slide3.png
Binary files differ
diff --git a/doc/talks/assets/intro/slideB1.png b/doc/talks/assets/intro/slideB1.png
new file mode 100644
index 00000000..b14b6070
--- /dev/null
+++ b/doc/talks/assets/intro/slideB1.png
Binary files differ
diff --git a/doc/talks/assets/intro/slideB2.png b/doc/talks/assets/intro/slideB2.png
new file mode 100644
index 00000000..a881a796
--- /dev/null
+++ b/doc/talks/assets/intro/slideB2.png
Binary files differ
diff --git a/doc/talks/assets/intro/slideB3.png b/doc/talks/assets/intro/slideB3.png
new file mode 100644
index 00000000..830709d2
--- /dev/null
+++ b/doc/talks/assets/intro/slideB3.png
Binary files differ
diff --git a/doc/talks/assets/intro/slides.svg b/doc/talks/assets/intro/slides.svg
new file mode 100644
index 00000000..9946c6fb
--- /dev/null
+++ b/doc/talks/assets/intro/slides.svg
@@ -0,0 +1,4326 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+ width="1280"
+ height="720"
+ viewBox="0 0 338.66667 190.5"
+ version="1.1"
+ id="svg5"
+ inkscape:export-filename="/home/lx/Deuxfleurs/garage/doc/talks/2022-02-06-fosdem/assets/slide1.png"
+ inkscape:export-xdpi="96"
+ inkscape:export-ydpi="96"
+ sodipodi:docname="slides.svg"
+ inkscape:version="1.1.1 (3bf5ae0d25, 2021-09-20, custom)"
+ xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+ xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+ xmlns:xlink="http://www.w3.org/1999/xlink"
+ xmlns="http://www.w3.org/2000/svg"
+ xmlns:svg="http://www.w3.org/2000/svg">
+ <sodipodi:namedview
+ id="namedview7"
+ pagecolor="#ffffff"
+ bordercolor="#666666"
+ borderopacity="1.0"
+ inkscape:pageshadow="2"
+ inkscape:pageopacity="0.0"
+ inkscape:pagecheckerboard="0"
+ inkscape:document-units="mm"
+ showgrid="false"
+ units="px"
+ inkscape:snap-global="false"
+ inkscape:zoom="0.77058782"
+ inkscape:cx="609.27514"
+ inkscape:cy="365.95439"
+ inkscape:window-width="1918"
+ inkscape:window-height="1033"
+ inkscape:window-x="0"
+ inkscape:window-y="0"
+ inkscape:window-maximized="1"
+ inkscape:current-layer="layer1" />
+ <defs
+ id="defs2">
+ <marker
+ style="overflow:visible;"
+ id="Arrow1Mend"
+ refX="0.0"
+ refY="0.0"
+ orient="auto"
+ inkscape:stockid="Arrow1Mend"
+ inkscape:isstock="true">
+ <path
+ transform="scale(0.4) rotate(180) translate(10,0)"
+ style="fill-rule:evenodd;fill:context-stroke;stroke:context-stroke;stroke-width:1.0pt;"
+ d="M 0.0,0.0 L 5.0,-5.0 L -12.5,0.0 L 5.0,5.0 L 0.0,0.0 z "
+ id="path12266" />
+ </marker>
+ <marker
+ style="overflow:visible;"
+ id="Arrow1Lend"
+ refX="0.0"
+ refY="0.0"
+ orient="auto"
+ inkscape:stockid="Arrow1Lend"
+ inkscape:isstock="true">
+ <path
+ transform="scale(0.8) rotate(180) translate(12.5,0)"
+ style="fill-rule:evenodd;fill:context-stroke;stroke:context-stroke;stroke-width:1.0pt;"
+ d="M 0.0,0.0 L 5.0,-5.0 L -12.5,0.0 L 5.0,5.0 L 0.0,0.0 z "
+ id="path12260" />
+ </marker>
+ <marker
+ style="overflow:visible"
+ id="Arrow1Mend-3"
+ refX="0"
+ refY="0"
+ orient="auto"
+ inkscape:stockid="Arrow1Mend"
+ inkscape:isstock="true">
+ <path
+ transform="matrix(-0.4,0,0,-0.4,-4,0)"
+ style="fill:context-stroke;fill-rule:evenodd;stroke:context-stroke;stroke-width:1pt"
+ d="M 0,0 5,-5 -12.5,0 5,5 Z"
+ id="path12266-5" />
+ </marker>
+ </defs>
+ <g
+ inkscape:label="Layer 1"
+ inkscape:groupmode="layer"
+ id="layer1">
+ <image
+ width="26.416821"
+ height="26.416821"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAMgAAADICAYAAACtWK6eAAAACXBIWXMAAA7DAAAOwwHHb6hkAAAA
+GXRFWHRTb2Z0d2FyZQB3d3cuaW5rc2NhcGUub3Jnm+48GgAAIABJREFUeJztnXd4lFXa/z/nmZkk
+k8ykRzoJASmGZgGUpiFUlXVfWXF17fXddXVFwLa7btz3/bEi2HVd31V0Lbsr1hVFkUCUJiKK0kRa
+IIChpE9mUmbmOb8/QKWkzMzzzDzTPtfFdYXMPOd8CfnOafe5b0GcoGAvmZOlKAl5XtQ8oSp5CNkZ
+RDbILODYH5EIMu3YIwlAyrGvnUDL0S9FHchmoApkJYgqoApJhVTkXpOkTLrNe+on31Ud2n9hbCCM
+FhDppJQ83gncgxTEEAQDJXKwgD5Aaoil1AM7QGxCyk0qbBReNjZMmn04xDqiirhB/GHhQpMte3d/
+gXkUUo5GMBpJL6NltYukAiG+BLkKVax21DSsY3pxi9GyIoW4QTrAXvJIX4GcIhU5GckYfpoGRSpO
+YIVAfoiXj+on3r3DaEHhTNwgJ7NwocmWWTZWUUzTpFSngMg3WlKQ2SXhQ1TealjtXEFxsWq0oHAi
+bhCA4mLFPso2Ugp5mYDLEHQxWpJBVAGLVcnLzlXO5XGzxLhBrEse6WEyqzcANwjoabSeMGOvlGKB
+1+Rd0Fh4z36jxRhF7Blk4UKTPbN8KsibEEwGTEZLCnO8SD5C4e+Oytz3mT7da7SgUBIzBskpfcbW
+JBuvRMqZQF+j9UQmYg/Iv5kU83O1hTNqjVYTCqLeIMkfz+1qMil3ALcC6UbriRJqQDzntZiedI2d
+UWG0mGAStQaxl87PRpWzgDsAq9F6opQWpHzJm2ApjlajRJ1B7CVzsoSw3C5hBqE/zY5VnFLygsQy
+xzn+zkNGi9GT6DHI4icT7QlNv0OI3xM3hlHUgfxfR5XryWg5rY8Kg9iXzZsKPAb0NlpLHAB2SuT9
+DUV3v2G0EK1EtEHsy+f2E1I8IxFFRmuJcyoSuVTxclskh7NEpkFKi82pXtttUsj/R+THRkU7TQLm
+1lc550TitCviDJJS+vBQRRXPA2cbrSWOX2wUqDfXF92zzmgh/hA5BiktNqeqyX+SiPuIn35HKl4h
+mVNvcv6ZwmKP0WJ8ISIMkl76lzyvan4VGGW0ljh6INYJr3pVJKxNFKMFdIR9+cM3eFXzJuLmiCLk
+cGkSX9pL5l9rtJKOCN8RpLQ4yS5TnkZyo9FS4gSVVxxW062MvKvRaCGtEZYGsS55pIfZLN8EOdxo
+LXGCj4QNJg/T6ibNLjNay8mE3RQrrWT+BLNZ3RA3R+wg4EzVzLqU5Y+E3XlWWBnEXjLvRlXIDzia
+FidObJGtSHWJbdnDvzZayPGEx3aplCJ1rK0YwaOEi6Y4RqAIxEUJ10zMbMkf9TGffCKNFmT8GmTx
+k4m2xKYXBeIKo6XECSMkbzmSTVcbvXg31CCdlsxLabTwrpSMN1JHnLDl08REdWrl6HscRgkwzCDp
+pY+le1XPB8BIozTEiQi+EG7TZKNSqxpikNSPHs2UFvXD+E5VHF+QsAGLe1LD2PuPhLrvkBvk2FXY
+UmBgqPuOE9FsRhGFjsJZlaHsNKTbvBlLH0pDlR8RN0cc/xmIKkvSVv4lI5SdhswgXRcVJ3sU0yLi
+YepxAmeI2mxanFP6jC1UHYbGIKXFSY5k2/vAmJD0Fyd6EeLcZtX5LoufTAxFd8E3iJTCJpOfB1kY
+9L7ixAQSUZSa2PwyUgZ9DR30U2v7+fa5QhJW4QNxooKCpLI15uaXly4PZidBdaB92fybQP49mH3E
+iW0k8jcNRXc/G6z2g2aQtJL5E1QhFwPmYPURJw7gljC5oWh2UEaSoBgkY+lDPT2K6UsgOxjtx4lz
+EtWKh3OCcZ9E/0V6aXGSR5jeIm6OOKEj02vmLdY8qnsOZt2nP3av7RmEPEfvdiONkendmZSVT8+k
+VJJMZpweN07VTa27CZfXzeEWJzsba9jhrOZgi9NouRGPgDPtLu/fHKDrPXddp1j25Q/fgBQv6Nlm
+pNE/JYun+k9iRFpXn59xeFrY2VjNhvpDrKzdx8qacg63uIKoMnoRiGvqi2a9ol97OpG27OHeKmID
+YNerzUhjRFpX3h7yC+zmBE3tSGCbs5IVNeW8c3g7n9Xux/CbQ5FDg/DKs/RKKaSPQUqLzXZv8kqE
+OFeX9iKQnIRk1g6/jpyEZN3b3ttYx78ObuX1Q1vZ5arRvf0o5AtHWuoozrnVrbUhXRbpqWryn2LZ
+HAB3550bFHMA5FrTuLfXeWw490YWn3U5RZl5Qeknihhmq6u/X4+GNI8gqSXzh0khPyOG75KbhcLO
+0b8m0xK6QlabGo7wdPl6Fh7ailfGJ2Ct4FGQI+qK7v5KSyPaRpDSYrMq5HPEsDkA+iZnhtQcAINs
+OTx3xhRWD7+WsRnxCtatYFYRC1j/nEVLI5oMYlNT7hFwppY2ooHsIE2tfOGMlGzeP3M6LxRcROeE
+eCWIkxhir6+/U0sDARvEXvJIXwF/0NJ5tFDraTJaApd1GsCX597If3c/C0UYn6wmbJA8mFr6aJ9A
+Hw/YIEJ4/wokBfp8NLHDVUOjV/OGiWbs5gQe7juOt4ZMC9qGQQRildL7VKAPB2QQ+7J5/xUve/YT
+jV43H1TuMlrGjxRl5rFq2DWMyehhtJTwQDI5dfm8iwJ51H+DLCxOAOYG0lk0M6dsNU1q+NSE6ZJo
+472h05mVN8JoKWGBlDweyC1Evw1iz0qZAZzu73PRzk5XDbd9uwQ1jLZcTULwQP4Ynug/EVN8XdLH
+ntB8m78P+fVTO5ayZxfxOuRtMikrn6cHTKJTmO0oLTqygxu3fBBWo5wB1Aq3qbc/Sej8Or9IvHpC
+MYJx/uuKHXY11rDgwDccbHEiEHiRmIRAQWASxiXT75eSxXnp3XjvyA5aVK9hOgwmCbPqbfmH79d0
+fR5BbCvm5Ai3ZTcQspQr0YZFKKSZk8i1pnF6cgZ9kzMZYu/EyPTupJg0nWf5zMqafUz75q1YHkmc
+0kN+w6TZh315s+/3Qdzm3xM3hybcUqXS7aLS7eLL+oofv28WCmendmZcZh7TOw2gd3LwcqONyejB
+CwUXcc3m92I1RCVFmJkNzPblzT6NINZlj3Yz491J/NwjJAxP68oVnQu4svMZWIM0srz0/UZ+t+3j
+mAyjl+CS0pLvHH/noY7e69MaxHrdhD8A52tWFscnDjQ7WFK1m39UbMIrVQbaTiNR0Tfcbai9E27p
+ZU3tAV3bjQQEWBShNvuSMqjDESR71Vx7c7NSDqTroi6O32RYkvhj/mhu6DpE1zASr5RM3bCQVbX7
+dGszgqhJUpJ7Him8raG9N3W4rdLSrNxI3ByGUuNu4q7vSihc/xobHAd1a9ckBC8UXBSrYSkZzarr
+uo7e1L5BFi40SeTteimKo40NjoMUrf8nfylbo9uBZJdEGwsKLo7Jg0QpuIuFC9udu7b7ov3WEZcg
+4mlDfcVuTqBroo1cazq9kzPol5LFEHsnelnTsQgTlW7tiRhUJKtq9/Gl4yDjs3qRrMMiPs+aRpW7
+6YSdtRghIzG5bn3Ly0u3t/WG9rd5hbxZd0lRQJJiZlhaF4baO9EnOZM+1gxOT8ns8D7GnsY6Ht37
+Oa9UbNK8xbq0qozC9a/y7tDLyLdqnwE/kD+a945sp6K53Sl5NHIzsKitF9scV62lc7ubVWUPMX5b
+EEARgmGpXTg/oydjMnoyIq0rSUrgKcU+rtrNtZsX4dQhRL5TQgpvDpnGEPtpmttaePBbbtr6geZ2
+IgyPB1NeY9FdrW7ntbkGsajKTcS4OQakZPPn3mPZOvJWlp59JX/IH835GT01mQNgYlY+zxdcpEtK
+mUMtTi7e8DqbG7SX77us84BYvL5rNuG9rq0XW/8/Ki5WbGNSygTE3E/Lqpi5qstAruk6iCH2TkHt
+64Yt7/PmoW26tNU5IYWlZ19JrjVNUzubG44wat0/YusAUVDmKJzVGyFO+We3OoLYxthGx5o5bKYE
+ZuQOZ9PIW3ik3/igmwPg9p76ZWg92OLk59+8SbW7UVM7A205TMnurZOqCEHSy75sfqtpq1o1iCKY
+HlxF4UOyycJ9vUayddQtPNh7LKeF8ExgqL0z2Rb9+tvlquGWrR9q/vSfnRd7Kc5kG7/zpxqkuFiR
+qrw06IrCgJ/lnM76ETdwX6+RpJtDH2YmgB5J+l6t+bhqN0+Vf6GpjbNTu1CYmauToshASC6nuPgU
+P5zyDduYlAsQdAmNLGPoZU3nzSGX8uqgS+ieZGwqYa9UdW/zwV0r2djgUzR3m8zMjbGruoIu9tHJ
+o07+9qmOESKqR48buw3h8xHXMTEr32gpeKWkvKle93bdUuWObR9rOm0fk9GTPI0L/khDCqad/L1T
+DCKlnBwaOaHFbk7gpYFTeazfBM3btHqxtu5A0HJqfVV/kFcqNgf8vAB+2fkM/QRFAAJxyu/+CQax
+lzzSF4i6LYyh9k6sHHYNl57Wz2gpJ/DI3s+D2v6Du1bi0nAY+cvOBcGt8hp+9Etb9vAJv/8nGEQg
+p4RWT/CZnN2bJWf9UpdwDD15rWIzJVW6l9Q7gUq3ixe/3xjw8/nWdIb5UQgoGvAKZdLxfz/BIFKJ
+runVVV0G8s9BlwTtVl6gvPT9Ru7Y9nFI+nqy/AuaNSRpuCSnr45qwh8hOWGQ+MkgpcVmJKNDrihI
+zMgdzjMDJmM2MJPI8XilZHXtfi795i3u2PYx7iDsXrVGRXMDb2k4rY+90BN5AaXFPy5Sf/wi1Ws7
+UwoZFUkZ7u11Hvf3OmXHLmiox3ajdrqq2e6qZndjLS6vG6fXTa2niWp3E+VNddS4jUly/a+DW7iy
+S0FAzw6y5ZBlsVKl8YQ+grAle+yDXLABjg93FzJ0v1FB5KZuQ0Niju2uapZX72FFTTmravaHRYb3
+tlhRU055Ux09k/zftlWEYFR6d947okvJv4jArKijONkgEiLeIBfn9GFe3+Dl1K5xN/Huke38++AW
+PougZAcSeOfwdn7Xc1hAz4/N6BlTBuGoF56GEy9MnWeMFn0Ym9GTFwumBuXq6E5XDY/tXce/D24J
+2dpBb0qr9wZskME63DWJKMRPg4UCkFL6cGegm2GCNNIl0caLBRfrnhqnvKmOG7a8zzmfL+CVik0R
+aw6Az+oOBLybdXpyps5qwhsp6WFbMu80ODaCmFUGR+p/vSIEz51xoa6ZOdxS5YUDX/PgrpW63PoL
+Bxq9btbXVzAqvbvfz2ZZrGRarH6F0gvgrNQuDLLlkGZO5IjbxdraA+xurPW7f0MwMxBYbgZQpTKI
+U++KRAT39xrFBTpuRW5uOML1W97nO2eVbm2GC1sajgRkEIA+yRmsq/PNIL/o1J8H8se0Gsv1We0B
+7t/5SdgniFBgMLD86CGBIgcZKycwxmT0YJaOUacvfr+RovWvRaU54OjOW6D4Ms0SwPy+RSwouLjN
+QMfz0rvx8dlX8KsuAwPWEgqkFIPg2BRLSgZFWsxNgmLisX4TdMk06DkW/fqqhuC+SGCHBoNk+VDm
++u6887ile8dFjy1C4en+k/i+2UFp9d6ANQUVcXTQUAAEBFwF1Ch+13MYfXVYPDarXq7bvCjqzQGw
+t7Eu4Gft5oR2X++ZlMYsP24imoTgiX4TSdB5Y0VH+gAo9pI5WURYxahca5ouUyun183Pvl4YM3v8
+Dd6WgJ+1mdo3yA3dBvu9i5hnTWNcZl7AmoJMRnrpY+mKoiTkGa3EX/7Sp1BzAGKL6uXKTe9G1IGf
+Vhye4BnkgozArugWBvhcKHDjzlO8Kr2MFuIPg+2ncVGOthmhKiW3fvth+M5/g0Sj6sET4FmOrYMP
+pK4BXl3uZvCV5/ZQVNFLERC+Fm6FWbnnar7E8/CetZoiXCOZygCDDh0dTM8yDEh6EWyEEHkKQnY2
+Woiv9E3O5Gc52ipQr6rdx9w9a3RSFHnscAa2k7XTVdPmaxahBBzF0KBh2hdspJSdFBDZRgvxlbty
+R2ja1q1yN3Ljlg9itTYfcLQctL+oUvJB5c42X7d1sMPVHg5vc8DPBh1BtgIyy2gdvpBuTmJap/6a
+2nhg54pYzF5+Ai9XbPL7Z/DmoW3samcE0TK9agjnUB4psxQgIkaQX3TqrykYcW3dAV6t2KSjosjE
+5XVz3ZZFPtdK39tYxz072i/lp6Uqr5adtaAjRLYCRESoppYUNKqUzPyuJLYSMrfDZ7UHuGzj2x0G
+H35Vf5DJX/27w9uEWg5s9zfrnxdMNyRZZiKg9rnW7BrvV+5kkw7lAaKJ0uq9nLV2Abf3PIdpp/X/
+MXZKlZIv6it4pWITr1Vs9mm9piUcvr3Fv9FISDEDga+wQsT0zgM0be3O37NWNy3RRLW7kQd3reTB
+XSuxmixkmpM43OL0+96Llg8vLfFhwUYgEyPCIIGe0sLRT8qvHR3Wi495Gr1uDgSwYM60WClICWwZ
+e7DFGd5rEESCQpgbxGqycHZq4Lm0X44vzIPK2IweAW+9bw/zawUSEsPeICPTugW8e+XwtLD4SNv7
+93G0M0lDEvB1dd/rqER/xDGDhDVaEpe9ffg7GlWPjmriHI/VZOGS0wLPvLiiplxHNcFBAcJ5Eshw
+DQvAj6t266gkzslMzenTYZRvWzSrXj4P8xFEQnPYGyTQPXb1WKrPOMHj6i6B39T+vO5A2I/uItwN
+kmZODDhbycaGw34XtDQLha6JNlLNiQH1GUucc6xufKB8UhMJVw1ki5kwNoiWA6gvfMyaIYBpnfpz
+XdfBjEzv/mOy64rmBhYd2cHj5evY3+QIWEe0oqXQpwTdyl8HE4loNgNhG72nxSC+hHVnWJL4x8Cf
+tZo2qEuijVu6n8nVXQfx22+X8MahbwPWEm2cae/MZA2loj+r3c8eDffjQ4UApxkI283obkmBR8F0
+dEJrVcy8PWRah2csVsXM8wUXAcRNwtFEffP7FWmKbPj3wa266QkylQrISqNVtEWgOyQAuxrbj/H5
+ff4onw8gBfBk/4l0TkgJWE+0cH3XwQzTcHDbpHp45/B3OioKKpUKiLAdQewaFsvt1eJINyf5lL/p
+eFJMFn7b85yA9UQD3ZPsPJA/RlMbbxz6ljpPGF+SOgFZpSAJ4xEk8Mwl7eXUHZ+VF1Cl24s1XveN
+ZMxC4YUzLibDEvjlKK+UPLZ3nY6qgo1SqYAI20i+QKdYHWXv6Jsc2CXKvKQ0LGFS0i3UPJA/mvPS
+tRUAeOvQtrAObz8ZITisSEXdY7QQvantoNRZSoAjkyKETyk4o43pnQfwu9zhmtqQwKNBLnutN1Kl
+TDGh7DFaSFt83xzY+cOBDp7TkmEwRUOCgkhkYlY+zw6YojnV0tuHtrHVGbaz+VZRFVGmyBYluMW6
+NbA8wMRuy6r3tPt6MFNwRhOj0rvz8sCpmqeVTq+bP+z8VCdVoSPB696j1E++qxoIy1ObkqqydrNp
+tEaT6uHl79u/A6IlF1NqjIwgF2X34e2hvyBZhxrzc8pWdziqhyE1NRPurfvhoyEsL024pcpd20v8
+ymM1p2wN+5raTwSgJdVMj6SIyvMdEDd2G8Krgy7BGsBO38lsdVbyt31f6aAq1IgdcKz8AYiwvXZX
+Wr2X325b4lOammf3fcUTPmwjVrpdAeuJ5np9ySYLfxswhcf6TdClGKpXSu7ctjRCazuqG+GHKrdS
+btK8Cgsir1Vs5ltnJXP6XMDIVkqI7XLVULxrJf85st2n9rRsNUarQQps2bxYMJX+KfrlEXyobA1r
+6yIze74QRweNozUKYWO47+7/kKOplzWdc9O6cVpCMjWeJjY5jvC146BfOa8ONDto9LoDKqEw2BZd
+JZGtJgt39hzGzNwRuhazWVFTzvy9kZtNRlXVnwwiEtzf4Na+GAsFZY21lGmslKpKya7GWgbacvx+
+Ns+aRo+k1A7XOeGOWShc1mkAD/QeTbdEfUsQHGxxcsOW9yM7B3KCdzMcW4M0jL3/CBBT1++05GPS
+ck/eaBIUE9d3HcxX597Ic2dM0d0cTaqH6zYv4nBL4Os8o5FQfswT/DizEhBTNQE2Og4H/Gw4V0Vq
+iwEp2TzYeyxbR97KE/0ntlmFVgteKbll62LWRP5V51U/fPHTPp5gNZLphsgxAC0ZNS7O6UOKydJu
+QKTRZFmsjMnowZiMnhRl5pFvTQ96n3d9t5R3D/u2URLWCLH6hy9/NIhArJYxlN55g+MQDk9Lh9Vb
+WyPZZOHinNN53aCLP5kWK7lJaWRYkkgzJ2I3J5BispBvTef05Ez6JGfQIyktpBuT/7t7FS9+vzGE
+PQYPSSsGqRMN39hlSgMRkMxaDzxSZXXtvoCvjl7ZuSDkBpmS3ZsZucMZntpVl/rweiCBP+78lCfL
+vzBail7UOyt7/FgT/Kfd3cJiD7DSCEVG8amGadYFmbkMCmAXLBASFBPPDpjM64P/i3PTuoWNOdxS
+5dati6PJHID8hOnTfzyVPuH4Qwg+Cr0g43jvyA7UALciBTBTQ2YPf3ii3wR+1WVgSPryFZfXzS83
+vhNJ98t9QnKiB048H/TID0OqxmD2NdWzpi7wHZef5/TVVDzGFyZn9w47c+xwVTP+y3+ytCpsA8ED
+xiQtS47/+wkGqZ949w5gV0gVGYyWT0BFCOb2HaejmlOZ0VPbRSW9ef3gVsZ+8Qqbo7Mg0ba68TNO
+yFd7SoSJhJgaRd45/B2NGrZrizLzNJembosMSxIjNOQm1pNGr5vfblvCzVsXh/X2thZOnl5BKwZB
+5a2QqAkTHJ4W/hNAaeTjeej0cUG5SJWblBYWC/KPKncxfN1LHd6ziXSEkG+e/L1TDNKw2rkCCO+0
+2zrz6N7PA16sw9F0OE8PmKSjoqMIg0Os9zbWcfnGd5i+8R32RkAmRI3sd6xwfXbyN08dQYqLVYmI
+qVFkm7OKxZXall6XntaP67sO1knRUfY31xtydFvlbuTPu1cy/PMX+VDjzyViECykuPiUiyutRrkL
+lYXBVxRezNOh0OfcvuM4R0PWwZM50uLia8dB3drriIMtTu7f+QkFa/6P+Xs+D/vyBHoiaP13vlWD
+OFY3rAEiIT+9bmxwHKRE47ZlkmLmjSGX6rr1+1T5et3aaosv6yu487ulDF7zd54uX48rShfhbSN3
+1xfOavUqauv3pIqLVSnFgqBqCkN+v/NTzddDsyxW3h36C7on6RNG/tahbXxQqX/KgPKmOh7es5az
+1y6gcP1rLDjwDU0xNGKcgOQFhGh1NtvmKtBaOre7WVX2APpdM4sA/tx7LHdqTJIGRw8hf/71m7rU
+AU8xWXix4GJNJQcavW4+qzvApzXlfFpTzoZ6/25hRjEer1fNdU28p9WNqXa3SezL5n0AXBgUWWGK
+1WThixHX0TNJ+32JGncTl218W5dqrooQXNVlIDNzR9Crg9D1A80Odrpq2OmqYYermq8dh1hfX+FT
+4ovYQ77nKLr7krZebd8gy+ddguRd/UWFN1NzTue1QW3+zPzC5XVz53dLdY1Z6peSRb41nSTFjMPb
+gtPbgtPjpt7bzOEWVwyuITTxM0fR7EVtvdj+RvvChSZ71t7vgMDH9gjlrwMmc5WOMVD/PriVO79b
+Gv/lDScEZY7K3NOPj949mfaTmUyf7hWCJ3UXFgHM3L6Mb3XMJfvLzmewatg1FGZG3nXdaEUi5rdn
+DujIIIDVzQuEcZm2YNHodXPt5kW6fuL3Sc7gP0Mv46WBU3VPlhDHb6pT3PIfHb2pwx0q56tL3YnX
+TsoARusiK4KodDeyv9nBVJ2DEQekZHNz9zPpkmjjW2dVBFVcih6EkPOqJ9z9cUfv82kL13zTlK2K
+qv4GiIzkWTqyueEIqpS6p/oxC4WzUjtzc/cz6ZeSicPbQnmTMaElMYhTesRVLa8udXb0Rp8M4n5x
+SUPitROyQJynXVvksbp2P1kWq89FP/3BJAQFthyu6FzANV0H0zkxBVVKDrU4262SFUcDQjzeMGH2
+f3x6q69t2kvnZ6PK3UBMTp4VIXjhjIuY1ql/SPprVr2sq/uerxwH2emqZoerhl2uGuo9zTEVIxUE
+GqSH3g2TZvuUGM2veGr7snkPAfcEJCsKSFBMPH/GRfz8tL6G6vBKicPbTLW7iX1N9ZRUlfFqxWaq
+3I2G6ooEhOR/6sfPfsDn9/vTuL1kThbCsgvQPy1fhGASgnl9i7ip21CjpZxAvaeZe3eU8mrF5o7f
+HLvUmBRzfm3hDJ+TO/sVZ9Xy8rLGxGsmuRFM9F9bdCCBJVW7kUjGhFGO3kTFzEU5fXB53XyuQ2hL
+NCIE99aNm+lXLTi/qx44qhueAqIgv6Q2Hir7jN98+1HYrQce7D1Wc7nmKGVbfWrqs/4+5H9ZkOnF
+LcAsv5+LQl6t2EzR+td0idjVC0UIivPHGi0j7BCIuzjnVr9PfQOqm+Momr1IIpcG8my0sbnhCGO/
+eMWwPL2tcW56N93uo0QJi+uLZgWUrSfgwlKKl9uA+LYJR8sc37x1MTdu+YBDLR2ePQUdQfRVwgoU
+CS4FeUegzwdskPqJd+9A8D+BPh+NvHHoW85eu4Dn9n9leHWldEuSof2HC0KKB+qK7g4484Sm0oQO
+4ZwnIRJr/AaNek8zs7cv5/z1r/BZrXEFLCO5wpOOfONIt2uKRteceCmtdO45qqqsJcau5vrKeend
+mNFzuKbrsv7ikSr5K/9KracpZH2GIR6vqgx3TZi5QUsjmn+pm/9R8n3SdRNMIM7X2lY0sr/JwRuH
+tlFSVUZOQgr51oygZ0tcVLmDfx3cEtQ+wh0pKHaOn/W61nb0+Z8qLTbb1ZQVQEwGM/pD10Qbv+g0
+gCs6F1Bgy9a9fafXzegvXmaXhlrwUcBqR1Xu+R1dhvIF3T7K0koey1eFZwOQqleb0c4gWw6XdurP
+BRk9GWrvjEnjyNKkerh286LYyYbYOnUmxTO0tvC+PXo0putYby+Zfy1CvqRnm7FCqjmRUendOT+j
+J2enduH05AwyLVafn9/YcJjfbVvKl/UVQVQZ/gjBr+rHzf6nbu3p1dAP2Jc9/HcQN+ndbiySabHS
+JzmDvsmZdEpIIfVYwU6b6WjRTq+UR6N5q8uMp1MEAAAFLklEQVT4tKZcUwLuaEDAs/VFs3+jZ5vm
+jt/iH47mpN/aE5uHAMP0bjvWqHY3sq6uUZe8WjHA5/XNiTP0bjQo2ynWJY/0MJvVL4HQVLmME+sc
+9ijq2Y2F9wReT68NNB0UtkXjpJn7VKFcAYRXqGucaMQtVS4PhjkgSAYBcI6buQzJfwer/ThxAKTk
+9oYJsz8JVvtBMwiAY/zsF5D8JZh9xIldhJB/bhg/+7mg9hHMxgGQUtiXz38ZuCrofcWJGYQQr9cX
+zryirbIFehHUEQQAIaRDcd4skMuC3lecmEAil9Y3JVwbbHNAKAwCUFjcZHO5fgasCEl/caKZz6xK
+yqVceEdI0lGGtIxq5uInU90JzcsQnBPKfuNEB0LytUj0jKsbc1/IAs1CXmf4WAK6UkC/2gJxYgC5
+CekpdIy/P6SJ1EMzxToOR+GsSiXBMxb4PNR9x4lMJHyFoowLtTnAAIMA1I25r8aseicBq43oP04E
+IVhlUb3jHIWz9CvW4geGGASgZsK9dXaXc6KEDlPQx4lVRGmSSJ5SM+HeOqMUGGYQgO+nFrsaqpxT
+gVeN1BEn/BCINxxKw4VHCm9rMFZHOCClSF0+/08S/mS0lDjGIyVPNhTNujMU5xwdER4GOYZ92bzr
+geeIwUI9cQDwSMlvgx0+4g9hZRAA27J54wT8m3iofKxxWKpcHszAw0AIO4MAWJc92s0sPW8ixLlG
+a4kTEr40IabVFs3aa7SQkzF0kd4WjUV3HXC0JF0gZWyWoI4ppPw/R5VzZDiaA8J0BDme1GXzr5bI
+p4lnS4k26oTgN3omWAgGYW8QgPRl83O9yFeAMUZriaMDUq5VBFdpyZkbKsJyinUytUWz9joU5zgJ
+xcSv8UYyHil4wFGdNzoSzAERMoIcT0rJvMGK4HniWVMijW8URb2prvCe9UYL8YeIGEGOxzl+9kaH
+4hwppLgTMPSUNY5PNAL3OhTnOZFmDojAEeR40pY93FsV4mkkk43WEqdVFivSfHvd+Bm7jRYSKBFt
+kB9IKZk3XhHicZAFRmuJA8B2pJzpGH/3+0YL0UpUGASA9c9Z7LX1tyP4I5ButJwYpUZI8WB9uv2v
+gRTMDEeixyDHyF41197crPwGuA9IM1pPjNAAPKMkeOaG8jpsKIg6g/yAvWROFsIyW8LtApKN1hON
+SHAheV5imeMcf+cho/UEg6g1yA+klDzeySTct0v4NZBptJ4ooUogn1U94qmGSbMPGy0mmES9QX5k
+8ZOJqUlNl0sp7gf6GS0nIhGUCVU8YfXK5w9Nmm18vesQEDsG+YGFC032rL0XAjcDFxIvPtoRHpCL
+QTzvqMpdrEdZs0gi9gxyHNZlj3YzoV4vhLwBSS+j9YQXcrdELFC96ouuiffEbIGSmDbI8diWP1Sg
+SNNlEnk1iHyj9RjEASl5S0jxhmP8zNXhcOXVaOIGOZniYsU+OnmUFEwTiClAX6MlBZnvQC5GVd52
+rG5YQ3GxarSgcCJukA5IK3ks36t4JwvJFJDnA3ajNWmkHvhUIj80ecRHdZNmlxktKJyJG8QfFi40
+peTsGWRSxWgVOVIRYrSU9DBaVnsIwT5VylUKYo1XKCudlT02x9pCWwtxg2jEXjo/W5ViiEmqg6Rg
+ICpDEPQGMkIspQbYiWCjUMUmVchNQhEbjcpIGC3EDRIk0ksfS3fjzlNU0UtArhSiCyrZILNQyEKS
+BTIRRBpHrx1YANuxxxsAN6CCrAPRjKAKlSoQVShUCikrJOxVFVmW4FHLjMw+GM38f4EawqbWMRX9
+AAAAAElFTkSuQmCC
+"
+ id="image1263"
+ x="134.51895"
+ y="20.857262"
+ style="stroke-width:2.00314" />
+ <image
+ width="23.48313"
+ height="25.232662"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAA7kAAAQACAYAAAAkxZ7zAAAABGdBTUEAALGPC/xhBQAAACBjSFJN
+AAB6JgAAgIQAAPoAAACA6AAAdTAAAOpgAAA6mAAAF3CculE8AAAABmJLR0QA/wD/AP+gvaeTAAAA
+B3RJTUUH4QgeDTYJaWKzaAAAgABJREFUeNrs3XecnGW9///3dc9s7+nZBEjb3WQ3BQi9IwKCIqCC
+ePQolp8oSBryBfUcz1qORw9KErDh8Yj1qICiKFaaSpESSpJNsrtppPfNlmybmfvz+yOhBFK2zO7e
+9z2v5+ORhwjJ7Mz7mtxzv+e67ut2AgAgJKbc0VicF++I+RbL8bqz8iVJXqpMklIpL9+cy/FcKmZy
+xZLkmYrM8+Iyy5asYP+juFLJOZOf58zlypPnzJUc9IOclR30/03ZJldw8LOxQklZr/9T+x/79fwc
+yeUf/hVZu+R1veHf7ZVkr/sXCcm1HfT0ZPvk1H3wH3NNB/9fa5Yv35x1OnkdktmBx5bk9sm5buf7
+Sd+p9cBjtvgWSzmzrljMb9//9GNNkuRnJ9o9l+rqSOalVs+paOGdCAAIMkcEAIB0Of32jXl7svaV
+ZSe93JS5vFgsmevLy1PKKzMvlevk8pyzMjPlOnN55lzugbJZ5pzLNVmepDLJ5Wr/P+dKypM0XFI2
+CQdKp+Q6JOuU1LH//6vJOXWYqVOmJkkdr5RsZ9Ypz5pMXofMOuV7Tb6zDs+zTk9+RyoV70xlpZqy
+Y90dw3fsbn2s9vwkEQMAKLkAgD4V07bcptzuVHZeLBErczG/TCmvTJ5fJudynfw8+a7Md1bmzJXJ
+qewNRbRM0khJcdJEekv0/qL8un9ukqnJnDUdVJzNNcn3mhTzmyzlNcWcdXTH/c763VXbVOt8ogQA
+Si4AIEzMXOU3GoZ7zh/msuLDZP6wmNkwOQ03c8MkDZNsmJwrkVQsX8VyKt6/tNZKJHmEiIjyJdcs
+2V6ZWuSpRVKLTC1y2iPTbudsj+T2yHd7UjG32xLJPb55exraKvdQkAGAkgsA6KdXlvu+aUZVNtak
+8oNnUl/9xSwqMDBem0F+3UyynNvinL/1jTPIqaxU09jdW3ew1BoAKLkAEFk1tXXZyeGxEbFErMyc
+jfWcle+/JtUbK7Py/YXVjZWsnLIKRKocb5G09UAx3uKkrfKsyTdtcc5ttZTXlEqkNtTfMrWVuACA
+kgsAQ2rC3etyc9q6hvWwuI4Wy4EBHLkQN0lui2Rb3zhT7Jvb4sxtTWWlmupvnLqFuACAkgsAPVdr
+3rSSlaM9Fxsv2VjJHeucys3XeDmNlzRW0jGSCggLwBDYJ6fNMrdFZhvltNmc22K+bfRMW5JZtonN
+tgCAkgsgQ7yybDjbt7Epc5M8p/JXZl6dp7FmmnSgwGaRFoCQn941SVp7YGZ4i5O2mue2+L7WOnNb
+WSYNgJILAGEosd+qG+N1Z0/0PZvozCb4smOc3HhJ4yWVSxpFSgDwqp0ybZGnTfK12clt8OWv9zxb
+l/DcepZGA6DkAsAAm/HtpWWpzrxyczbW8zRJpklOmmTSJMkqJRWREgCkTbekTc5prflaK2drJbfV
+N7clLq1dNq9inZwzYgJAyQWAw5hw97rcor2J8qQ06RAldrKkUlICgMDolLTl9SXYpLUxZ2tjfu6a
+F+dP3EtEACi5ACKv6murirJzrdL3Y5XmWaUzVUmaImmipBEkBACRsUdy6yRbLXMN8rRKZo25nfGG
+JbdObiYeAJRcAKFxXu2j8d0l4459ZUbWzK/xnKs+sLHTRI47AJDxp59NktY6aa3MVvjO6mLO1mbn
+lqxccl15O/kAoOQCGBIzvr20TImsSb55NZKrft3S4hpJuSQEAOiDrc6p7tXrgP3YCs9Z3bLmivXc
+GgkAJRdA/9WaN33Y6omplD8jJldjzqY7WaXJVUoqJCAAwOCwdsk1mKnBOdVJrs53yaXTx05be+/V
+LkU+ACi5AN5kxreXlvmJnBrJVZv5NU5utqRZlFkAQIB1S1rt5OpktsLMW+I5q2P3Z4CSCyCD1NTW
+ZVtxdoXz/NmSqz6wvHi2pLGkAwCIiL2S6mSqc56tSPleXSplLzZ8umoX0QCUXAAhdV7to/EdxeOr
+PM+fbs5myHfT5TRDbP4EAMhc6yUtl7Rc5pY659fl5LWtXHLdSQmiASi5AALkqnssVrdp9VTn+bNN
+Nnv/UmM7QXL5pAMAwBElJDXKtMR5tsTklnQ579nVcyq6iAag5AIYJFV3riqPJ2KznfNnm9NsyZ0p
+WRnJAAAwMMVXTcln6mpruokGoOQCSHuh1RmShpEMAACDqltyy0z+E05uifnekhUtU1ZyayOAkgvg
+CGbeuWpi0tfJzvdmy9lJcpotUwnJAAAQSK0ye16eWyLfPed7yedWzq1uJBaAkgtkpPNqH43vLhsz
+y+TOMt/NlnSOnI4jGQAAQq3FOT0jX0+k5B7Pzy98csl15e3EAlBygcip+VbdGHVln+ycP1uezjTT
+WZJySQYAgEhLSmqQ6XE5PWG+t2TFgoo6YgEouUDoVN25qjyW0pnO3FvldJakalIBAACStjq5x+X8
+J5zs8WVzpj4v54xYAEouECgzFjZM8p2dJdOZcrpI0gRSAQAAPbBd0rOSPe45e2hZ09QX2NAKoOQC
+g27a4hUVzuJvkdlbnNN5kkaRCgAASIM9Tvq779wjzrmH6+ZUrCASgJILpN30RWtH++o+58DyY2Zq
+AQDAYNnh5P5mTg/FPP+vS2+cuo5IAEou0GtVX1tV5GW7CzxPb5XpAklTSQUAAATAGkmPmOyvsezu
+h5ZdP7OJSABKLnBIMxY2TDLPv0xy7zDT2ZJySAUAAARYSnIvSnrINz00unnzY4/Vnp8kFlBygQxV
+c3vdMPNiFxxYgnyppPGkAgAAQmy3k3vEnB6Kp+zBlxZUbSYSUHKBiHvDbO25krJIBQAARNQKyf1O
+zn5fN6fyCW5VBEouEAFX3WOxFVsbTpe5d0j2TknTSAUAAGQe2yBzf5J5v++K6y+r51R0kQkouUBI
+1HyrrtAl4m836QrJXSxZGakAAAC8qk2yP0vugSzLfuDF+RP3EgkouUDAHL9wXWm367rQmbtMTu+S
+VEAqAAAAR5WS9E/n7F6Lp35Zd0PNNiIBJRcYIjW31w2TF3+HpKskXSQpm1QAAAD6X3hjKXcfG1eB
+kgsMguMXritNqutd5tw1ks6XFCcVAACAtPPl9IRMv8j2vHtfmFOxk0hAyQXSZMLd63ILmpIXyvlX
+ydm7JZdPKgAAAIMmJemfcu7HXc79YvWcihYiASUX6KVXd0X29a9yukZSMakAAAAMuU5JD0m6Nzev
+6L4l15W3EwkoucARTF/YMM2cPiTZtZJGkwgAAEBg20SzfP1Snn5SN7fqcQIBJRc4YPZX15R05qXe
+K7MPSjqTRAAAAEJnpWQ/csr+4fJ5k7YTByi5yDy15tWU1V8kc9dKulxSLqEAAACEXkJyf/TN7s7P
+b31wyXUnJYgElFxE2vRFa0ebuq+V3HWSJpIIAABAZG2T3I9SlvruqvnT1hMHKLmIlBmLV872fe/j
+cvqgmLUFAADIJL5zesQ3+96ovVvvf6z2/CSRgJKLUDp+4brShLqulXPXSZpKIgAAABlvvZm+F0/G
+/nfpzVN2EAcouQiFGQsbJvlOH5fsOkmlJAIAAIA36Jbpl575ty1bMG0ZcYCSi0CqWVx/ljM3x2Tv
+khQjEQAAAPTAEyZbXFNe9et7r3Yp4gAlF0NbbGvrsl1p7GpJ803uRBIBAABAH60yuUV5eYU/WXJd
+eTtxgJKLQTXh7nW5hc2Jj5vs05KOIREAAACkyU4nLUp02Z31t0xtJQ5QcjGwas2rLq1/t5P7mrgF
+EAAAAAbObslu21eSs3j9hyd2EgcouUi7aQsb3up5drtMM0gDAAAAg2SjnPvyyKbNP+D2Q6DkIi2q
+FzWc6pzdIdMppAEAAIAhUmdOc1fMrXqYKEDJRZ9M/ebK4V7Sfd7JfUqSRyIAAAAIgN+nzL9x1fxp
+64kClFz0yHm1j8Z3lo37iMy+Imk4iQAAACBgOpzpv9tKs7/K9bqg5OKIZtzReK5v/p1cdwsAAIAQ
+2Cjp3+rmVf2YKEDJxUFqvlU3Ron4IknvJQ0AAACEzB9jMbth6Y1T1xEFJRdQ9aJVVzm574ilyQAA
+AAivDsm+UF1e9fV7r3Yp4qDkIgPNWNgwyXf2XUkXkgYAAAAi4kXfvI+unF/xPFFQcpEpas2rKW2Y
+K+k/JeURCAAAACImYU7/mZfb+pUl152UIA5KLiJs2jdXHOclY3dLOp80AAAAEHFLZe5DdfMrXyQK
+Si4i6MC1t9+TVEoaAAAAyBCdktVyrS4lFxEyfdHa0eYS/yvT20kDAAAAGerxWMw+yA7MlFyE3LSF
+DW/1nP1Y0ljSAAAAQIZrMbPrV8yf+jOioOQiZGbf9VxWV3vR58zp3yV5JAIAAAAcYPqJspPX191Q
+00YYlFyEwMxFq6pScj+XdAJpAAAAAIe0zve8f1k5p+KfRBEdzO5FUM3ChmtTcksouAAAAMARTfR8
+/281C1fNkxkTgBHBQEbIlDsac7L91H87uTmkAQAAAPSC6bdZyr72xfkT9xIGJRcBULN4zbFS8l6Z
+TiENAAAAoE/1qNHzU+9etmDaMrIIL5YrR8D0hQ1vl6VepOACAAAA/WEVvuc9M33Rqo+SRXgxkxti
+V91jsZVbG75kplsZSwAAACCNdVf23W4vNm/1nIou0qDkYhBUfW1VUTzH+6lk7yQNAAAAYECq7lPK
+Sr2r7oaabWRBycUAqrl99RR5qQckTSMNAAAAYEBtlnRl3byqZ4kiHLgmN2Sm39F4kTz/GQouAAAA
+MCjGSfr79EWrPkAU4cBMbojULG74uMy+JSlOGgAAAMCgMsn9d93eis+q1vnEQclFP0y5ozEnJ+Xf
+JacPkQYAAAAwpAXqN5aV/Ne6G2raSIOSiz44fuG60oRL3C/ZeaQBAAAABMLSmOe9femcik1EQclF
+L8y6vX5c0ulBOc0iDQAAACBQNsvT2+vmVL1EFMHCxlMBNeP2lTOSnv5JwQUAAAACaZx89+j0hSvP
+IwpKLo5i+qKGC33Pe1zSeNIAAAAAgsrKzHl/rl646v1kQcnFYVQvbviwyR6UVEwaAAAAQOBlO+d+
+Mn1hfS1RBAPX5AZIzaL6z0v6AkkAAAAAofTNur2Vc7nFECUXZm764obbTLqJMAAAAIBQn9z/X25e
+27VLrjspQRaU3Ix01T0WW7Gl4S5JHyUNAAAAIBJ+X+znX/3UgmM6iIKSm1FqauuyXWnWT012FWkA
+AAAAkapajyW7/HfW3zK1lSwouRlh9l1b8js72n4t2cWkAQAAAETSc4mkLmn4dNUuoqDkRtrxC9eV
+Jlz37yWdSRoAAABApK2I+7ropQVVm4mCkhtJlV+vH5EV118lHU8aAAAAQEZY7VKxtyy/acpGoqDk
+Rsr+GdzEQ5LNJg0AAAAgg5heTsk/b9X8aesJg5IboYLb/VdJJ5EGAAAAkJFWx32dx9LlgeURAQUX
+AAAAwKCYkvTco7Nurx9HFJTcsBfcv1BwAQAAAEhWQdGl5IbW7K+uKTlQcE8mDQAAAAAUXUpuqAtu
+Z16SggsAAADgsEW36s5V5WRByQ1+wb1rS35nbvL3Mp1CGgAAAAAOV3TjKe+xmbetHkUWlNwAF9zn
+sjo7Wu+TdBZpAAAAADha0U1lpX5f9bVVRWRByQ2eWvM6O4p+IukSwgAAAADQQyfHc9xvJ9y9Lpco
+KLnBYeaml9Z/W9J7CQMAAABAL51fsLf7F+fVPhonCkpuIEy/o+E/Te46kgAAAADQJ06X7ywt/1+Z
+OcKg5A6p6sUNN5rpMyQBAAAAoJ8+WL24fhEx9B3fEPTT9MX1/2qmH5ElAAAAgPQ1Nbulbu7U/yYI
+Su7gFtxFDe8w2f2SWDcPAAAAIJ1M5j5SN7/yh0RByR0U1Xc0nuB8/++SCkkDAAAAwABI+OYuXTm/
+8iGioOQOqKo7V5XHU+6fko4hDQAAAAADqMV3qTNXzq1eThQ9w8ZTvVTzrbrCeMo9SMEFAAAAMAiK
+PYs9MH3R2tFEQclNu6vusZgSWT+TdDxpAAAAABgkE02J382+a0s+UVBy02rl5oaFkr2TJAAAAAAM
+spM7O9t+pFqjw1Fy02P64lVzzelGkgAAAAAwJMzeU13a8CWCODI2nuqB6oX1lzin30mKkQYAAACA
+Ie26cp9YMa/yLpKg5PbJjNtXzvA970lxqyAAAAAAwZDw5d66cl7l34mCktu7gvvtpWV+d84zkqaQ
+BgAAAIAA2R7zvJOWzqnYRBQH45rcw6k1z7pzfkrBBQAAABBAo1O+f9+UOxpziIKS2yM1ZfVfNOlS
+kgAAAAAQUKfm+P73iOFgLFc+hOrFqy535u4nHwAAAAAh8PG6eVX/QwyU3EOauWhVVcq5p2UqIQ0A
+AAAAIZCQ01vq5lY9ThQsVz5I1ddWFaXkfk3BBQAAABAiWTLdU3XnqnKioOS+xszFc70fSKomDAAA
+AAAhMzaecvfW1NZlU3IhSZq+qPGzMnsPSQAAAAAIqTOsNHZbpofANbmSqm+vP9t5elRSjDQAAAAA
+hLzkXbN8XtUvKbkZasa3l5b53dkvSu5Y/joAAAAAiIC9KfNPWDV/2vpMfPGZvVzZzPldOXdTcAEA
+AABESGnMeb+YfddzWZTcDFN9R+On5HQ5fwcAAAAARMypne3F/56JLzxjlytPW7xiumexZyTl8f4H
+AAAAEEG+OV20Ym7Vw5TciJt520sFqazcZyVN430PAAAAIMI2J5I6vuHTVbsy5QVn5HJlPyvnTgou
+AAAAgAwwLiuuH8ssYyY4M67kVi9adZXJfZj3OgAAAIAMccn0xY03ZsqLzajlyjMWNkzyPXtephLe
+5wAAAAAySJd53ukr5lS8EPUXmjEzuVfdYzHf2U8ouAAAAAAyUI7z/Z9NuHtdLiU3IlZuaVwg6Qze
+2wAAAAAy1LSC5q4vRv1FZsRy5Rl31k/1U3pe3C4IAAAAQGbz5XRu3dyqx6P6AqM/k1trnp/S9ym4
+AAAAACBPpu+ffvvGvOi+wIirKWv4f5LO5L0MAAAAAJKkqma3L7LLliO9XPnAMuUXJOXyPgYAAACA
+V/nm67wVC6r+EbUXFtmZ3PNqH437vn5EwQUAAACAN3dBF4vmsuXIltxdpeX/T6ZTeO8CAAAAwCGY
+Klti7V+O2suK5HLl6Qsbppmz58UsLgAAAAAcie/Lnb9yXuXfo/KCIjeTe17to3FzxjJlAAAAAOhB
+J/Rkd9d8q66QkhtQu8rGzZN0Mu9VAAAAAOiRSUpk/VtUXkyklivPvKNxfMr3V0oq5H0KAAAAAD2W
+dLLZy+dNXRr2FxKpmdyUn1pEwQUAAACAXoubc9+UWegnQiNTcqff0XiR5N7NexMAAAAA+sB09vQ7
+Gj4Q9pcRieXKU+5ozMkxf6lMlbwzAQAAAKDPtnvZXdOWXT+zKawvIBIzubkp/zMUXAAAAADot9F+
+d06o750b+pncmttXT5GXWiZuGQQAAAAA6eCb3Bkr5lU+HcYnH/qZXOelFlNwAQAAACB9PdFJ37rq
+HotRcgdZ9eJVV5t0Ke9BAAAAAEgnm71iS8N1YXzmoV2uXPW1VUXxHLdS0jjegAAAAACQdi2+paau
+nF+9NUxPOrQzuVk57j8ouAAAAAAwYIo9xb4WticdypncGQsbJvnOVkjK4X0HAAAAAAPGPPPOXDa/
+4qmwPOFQzuT6nr5GwQUAAACAAefM+V8N1RMOW8LT7mg8zfP9JxWB2x8BAAAAQDjY5XXzpj4Qhmca
+uplcz/e/TsEFAAAAgMHk/nv2Xc9lUXLTrHrRqqsknckbDAAAAAAGVVVXe+HHQlHHw5Lo7Luey+rs
+KK6TrIL3FwAAAAAMup1dnjdl9ZyKliA/ydDM5Ha2F95AwQUAAACAITMyx0/dHPQnGYqZ3OMXritN
+uO7VkobzvgIAAACAIdPhUrGq5TdN2RjUJxiKmdxudX2OggsAAAAAQy5PseQXgvwEAz+TO3Xhygkx
+562UlMv7CQAAAACGnO+bd/LK+RXPB/HJBX4mN+a8r1BwAQAAACA4PdJz/m1BfXKBnsmtWdhwvJw9
+L+6LCwAAAADBYnZB3fypjwSugQc6NKcvUHABAAAAIIh9zX0pmDUyoGYsXjnbN+9ZSi4AAAAABJX/
+trp50/4cpGcU2Jlc37wvU3ABAAAAIMhi/ymzQPW2QJbc6YtXniHpbbxhAAAAACDIbHbNwtXvoOQe
+LSbzvsSbBQAAAABCIOb/p2otMN0ycCV3xsLG0yW9hXcKAAAAAISAaUZ1Wf1llNzD8J39B+8SAAAA
+AAgPz/T5oFybG6iSW31H4wmSXcRbBAAAAADCw+ROrFm8KhBdLlAl1/P9z4sdlQEAAAAghFwgVuUG
+plDW3NFYLd9fpgDf1ggAAAAAcHie5523bE7F34b0OQQmDd//NwouAAAAAISX7/ufG+rnEIiZ3BkL
+Gyb5zhokxXhbAAAAAEB4Od9OXr5g6nND9fMDMXPqO7uJggsAAAAA4ec7d/OQluyhDqDm9rph8uIb
+JBXwdgAwkApzPJXkxFSS66k4JyZ34AhYlBN79WBYmOO96du/9oSvpL//n1u7UjJJZlJL1/5/2dad
+0p6OlNoO/H8A6M+JWWleTCW5MeVnefKcVJSz/6gU95zys/b/c3bMKTd+8Glc0je1J+x1/+wf+Gep
+pTOl5q6UWjr9V/89AAyglPO8quVzKtYMxQ+PD/Wrt1j8RmcUXAB9U5wT0+iiuMqL4hpblKUxRXGN
+KYyrNC+m4tcV2pLcmLwB/lov5Zv2dvra25nS3o6U9nam1NyZUlPH/hK8rTWpTS0JbW5OaG9nisED
+MsjIgrjGFWdpfEmWRhfGVZYXU2nugV95nkpz9x+nSvNiAz4DkUiZWrp8tXTtP0a1dPpq6kxpS0tC
+29qS2taa1LbWhLa0JtVBIQbQNzGl/LmS5gzFDx/SmdzZd23J7+xoXS9pJO8DAIdTlONp8rAcTRme
+rcnDsjWhLFtji7I0tiiuguxw7lfX1u1rc0tCm5r3/9rcktCmloTW7enWpuaEjGEHwnU25zlNKsvW
+saX7i+y44iyNL87SuJL9/z8nFs47JO7tTGl7W1KbDxyfVu/u1po93Vq7p0udSY5UAI7E2hNJd1zD
+p6t2DfZPHtKZ3M6O1mspuABef5JYMTxbNaNzNXlYtqYMz9HkYdkaXRiP3GstzPZUNSJHVSNyDlmA
+63d2adWuLq3a2aX6nZ1q3N2tRIoTSiAI8rM8VY7I0dSR+39NG5mjKSNyQltkj+SV2eaqETnSpNf+
+vW/S5paEVu/u0poD5Xf59k693NTNl3QADnD5WXH3CUlfHvSfPGSvuda8mtLGVZJV8AYAMtPw/Jhm
+jcnTzLG5mjUmVzWjc1+93gwHS/qmNXu6tWpnl+q2d+qZTe1as5uTSWCgxTynmlE5mj0uTzWjczV1
+RI6OLc0e8Msfwqq5M6WXtnVq6dZOvbStQ8u2daqtmyXPQAbb3uV5x62eU9GVESW35vbGy+T5DzDu
+QOYYXRjXGccV6LRj8nX82FyNK84ilH5o6kjp2U3tem5zB6UXSHOpPXl8vk4al6cTy/NCe1lEEPgm
+rd3TrRe3duipDe3658Z2NbMnAZBZnK6tm1v1o8wouYvq/yLpQkYdiK6cuNPs8jydeVyBzjyuQFOG
+ZxPKIJTeZzd36B/r92lTc4JQgB6oHpWj048toNQOUuldtr1TT7y8T0++3K6l2zrk8+0cEHUv1M2r
+OjHyJXf6woZp5qxOAbiFEYD0GlUY14VTCnXOhAKdNC5fOXH+mg+V+l1denh1mx5a06aGXV0EAhzg
+OemE8jxdMLlQF0wuZFXJEGrt8vXUhn36+/p9emTNPrV0McsLRJLT2XVzqx6PdMmtWdzwbZl9ktEG
+oqEsL6azJxTo4ooinT2hgGvVAmhzS0KPrd2nPze26sWtzJwgM4vt8WPzdHFFkS6qKNTIgjihBIxv
+0ktbO/TnxjY9WN+ipg4KLxAVZrpnxfyq90a25B6/cF1pwnVvlFTIcAPhNSI/rgsrCnVxRZFOLM+j
+2IbIjn1JPbS6Tb9Z0awVO5jhRbSL7anH5OvyacU6b2KhCnNYhhwW3SnTky+360+NrXpsbRubVwHh
+l3Sp2KTlN03ZGMmSW72o/iYnfZ1xBsJ7wvie6SV66+RCxWi2obdmT7ceWNmiXy1v1l42g0FEjCqI
+67JpxXrP9BIdU8JS5CgU3kfXtum+5c3654Z2NtgDQsqkr6yYV/W56JVcM1ezuLGe2wYBITthLIzr
+sqnFeu/MEpUXccLISSQQPHwJlxnWN3Xr/hUt+nVdM8uZgfDZ1eV54wfjdkKD+gkwbWHDWz1nf2V8
+geCLeU7nTSzQe6aX6MzjuM42k7y8t1u/Wt6ie5fvVWsXSwQRbGOL4nrfrFJdPq1Ew/NjBJIhulKm
+vza26r7lzXpucweBACHhpGuWz6v6ZaRKbs3ihntl9h6GFwiu7JjT2yqL9PGTh2lCGbf8yWTtCV/3
+17XoB0v2aHtbkkAQKMeWZun9s8p01YwSZcf4Fi6T1e/q0o+eb9KD9a1KsaseEHSP1s2rektkSm7N
+t+rGKBHfIIm1jkAAFWZ7uqK6RB89qYxdR3GQRMr0p8ZWfe+ZPVrX1E0gGFLTRubogyeW6e1Vxaww
+wUE2tyT0kxf26r7le9WZpOwCgeV5NXVzKlZEouROX1T/WZP+k1EFgqW8KEvvnVmi984oZedRHJFv
+0j/W79Ndz+zW0m2dBIJBdWJ5nj560jCdO7GAMHBETR0p/XzpXv3sxb1qZkM9IHicbq+bW3VT+Etu
+rXk1pQ2rJU1kVIFgGF0Y1/WnDtfl1cWKMx2CXnr85X1a9MQurdrJLYgwsM44Nl/zzhyp6lE5hIFe
+aU/4+skLe3X3kj3cgggIlt37SrLHr//wxAH7xnxQzmxrFq96m8z9kfEEhl5JbkwfmV2mDxxfppw4
+5RZ955v019Wtuv3xXdrckiAQpNWkYdm64bThuriiiDDQL82dKf1gSZN++kKTulIsYwaCwMn+dfm8
+qT8NdcmdvqjhHpNdxXACQyc37vT+48v0sZOGqYhlyUijrqTppy826X+e26M2dmNGP40qiOuTpw3X
+u2tKuOYWabW9LanvPr1bv17RwgZVwNAb0A2oBvzjo+b2umHy4lsksc4IGAKek94zvUSfOHW4RrGh
+FAbQnvaUvvX0bt23vJkTSPRafpanj5xUpmtPHKZcVplgAK3e3a3FT+7So2vbCAMYOuY8r2L5nIo1
+A/HgA35DuVGXzP2opMsYR2DwzRqbq29eNk7vnl6igmxmbzGw8rI8nTuxQG+rLNL6pm5tamYJM47O
+SbqyuljffOc4nTOhgD0CMOCG5cd0aVWRZo7N1dJtnWruZAUKMBSHf+db044/f/OxgfpsGVA1ixqe
+k2w24wgMnuKcmG44bbjeN6uU5X4YMn9ubNWXH92hpg52N8WhjSvOUu0Fo3X6sfmEgSGRSJl+/EKT
+vv3P3VyvCww208t1zZWTVOvS/k3TgJ7+Vt/eWOM8fzkjCAwOJ+myacW6+eyRKsuLEQiG3O72lL7x
++E49sLKFMPAqz0nvrinRzeeMVH4Wq0ww9DY2J/Rfj+3Q39fvIwxgUIuuXVA3f+oj6X7YAT0LHnXp
+p26VdDqjBwy8qSNztPiycXr/rFLlcdKIgMjP8nTB5EJVjczR85s7tC/BssBMVzEiR9+8bJyunlmq
+rBhLTRAMJbkxvX1qsSpH5OiFrR3axy2HgMHpuM7Fdv7pm/en+3EH7NPlvNpH4ztLyzdJGs3wAQMn
+5jlde2KZPnXacE4YEWhtXb6++c/d+r+XmsS+VJkn7jl96MQy3XDacGVzrEKQj1Xdvr7xj526d3kz
+YQADX3Pbu7zY2NVzKtK65GvAZnLzL//sxc7pOgYOGDgTyrL1rXeO0xXVxYpx8S0CLjvudNaEAs0c
+k6snXm5XZ5KmmykmDcvW968cr7dP5ViFEByrYk7nTSpUxYgcPbOJYxUwsFxWXFa/80/ffCmdjzpw
+axqd/oVBAwbqr5d01fQS3fu+YzVzTC6BIFTOPK5Av/3ABDYbyhCXTS3WL645VhUjuJMgwuXCKYX6
+7Qcm6C2TCgkDGEjm3jcQ58ppN/uuLfmdHa3bJXFUANJsRH5cX3zraJ0zsYAwEGq+Sd99Zre++/Ru
+li9HUE7cacGZI/X+40sJA6H358ZW1T68Xa1dXKsLDICUspLj626o2ZauBxyQmdzO9rbLKbhA+p0/
+qVAPfPA4Ci4iwXPS9acO111XjNfwfHYDj5Ipw7N1z/uOo+AiMi6uKNK97ztO1aNYkQAMgJhLZF2d
+1nOMgTlz8d/HWAFpLgOnDdfid5SrOIcygGg5/dh83f/+CTqD5cuR8M5pxfr5e4/V5GHZhIFIGV+S
+pZ9efaw+cHwZYQBpZ2ntj2lfrlxze90wefGtkvh0A9JgeH5Mt10yVqeMpwAg2nyTFj2xSz9Ysocw
+Qigr5vT580fpypoSwkDk/WZFi7706HZ1sSkVkL7zAJeqXDm3ujEdj5X2mVzzsq6i4ALpcWJ5nu79
+l+MouMgInpMWnDVCX3rraMXZgTdUinNiuuuKcRRcZIwrqov1f+89VseWZhEGkK7zAIu/N22Ple4n
+52RXM0RAf/8eSR86sUx3v3u8RhXECQQZ5cqaEn3n8nEqzPEIIwTGFWfpp1cfw5dxyDhVI3J0zzXH
+sfsykDZ2VTrPpdOm8uv1I7Li2iqJs3Kgj7JiTl+4YLTeOa2YMJDRVu/u1g0PbNbmlgRhBNTMMbm6
+87JxbByGzD4tl/Sdp3fr2//cTRhAP3kxTVt2Y9Wqfj9OWk/Os9y7KLhA372y5I+CC+zfofcX1xyr
+E8rzCCOALpxSqB+8+xgKLjKe0/6d4r984RgutQD6yVJ6V1rKclqfle+/m6EB+mZ8SZZ+9l6W/AGv
+V5YX0/9cOV5vncJywCD56EnD9I1Ly5Ub54QeeMUV1cX67hXjVMSlFkB/am5a+mTaPp2OX7iuNOG6
+t4tNp4BemzkmV9+8bJyGMSMCHJJv0n88tE33r2ghjCE25/QR+vgpwwgCOIw1e7p1/W+51ALoc0H1
+vCnL51Ss6c9jpO2rpm4vcSUFF+i9C6cU6u53H0PBBY70YeWkL144RtfMLCWMoTrpkHTLOSMpuMBR
+TB62/1KLE7nUAugb3/q9ZDltJdelaWoZyCTXzCzVNy4tVw5L/oAelazPnT9KV8/gNjVDkf1nzhul
+fz2hjDCAHijLi+l7V47XGcdyCRLQW5aGXpmWM+uab9UVKhHfKSmXYQF65sOzy7TgrJGi3gK9/fCT
+vva3nfrpi02EMYgF919mlRIG0EuJlOnmP23VQ6vbCAPoxUd9zPOOXTqnYlNfHyA9M7mJrIspuEDP
+ffSkYbqJggv0uXTdeu5I/X8ns2x2MLL+3PkUXKCvsmJOt19azl0TgF5+/CR9e3t/HiA9JdfsMsYC
+6NkJ46fPHqn5Z44gDKCf5p4xQtdxfeiA8Zz05Yu4DhpIy9+lC8foXTVcagH0+JzZ9a9f9rvkXnWP
+xeT0doYCOHrB/dz5o3TtiVzTBqTLjaeP0PuZZRwQn3/LaF3O7BOQtqL7hbeO1vs4XgE9Y3rLzNte
+Khiykrtia8PpkpiWAo7y4cbOsMDAuOXcUbq4oogg0uj604brPdOZdQLSyUn63Hl82Q30UF4yO+et
+Q1Zy5TuWKgNH8emzR+rKamZEgIHgOemrF4/R6eximhbvmV6i608dThDAAJ4TfJCdyoGjctb3numl
+4ae/kyEADm/emSP4MAMGWFbMaeHby1U1Iocw+uHciQX69/NHEQQwwG4+Z6Su5Bpd4GguU631qa/2
+q+ROv6NxsqSp5A8c2r+eUKaPncTGOMBgKMz29J0rxqm8KIsw+mDGmFx9/ZKxinns+w4MNCfpCxeM
+5lIL4MhG1RQ3nDToJddS/tvIHji0K6uL9f/OGUkQwGB+GhbE9Z0rxqkkN0YYvXBsaZa+ddk45WV5
+hAEMklcutTjruALCAA7fVt/Wtz/Wrx9qF5M88GbvmFqsL7x1DPfBBYbA5GHZuvOycmXH+BvYEyPy
+4/qfK8drWD5fDACDbf+lFmM1a2wuYQCH1qe+2eeSW1Nbly1z55M7cLBzJhToyxeOFiv+gKFzYnme
+Pnce15YeTdxzuv3tYzWumCXewFDJy/L03cvHa8rwbMIA3uzUmtvren3tX99ncstiZ0oqJHfgNRPL
+svXfbxurOA0XGHLvnl7CbXCO4uZzRurE8jyCAIZYUY6nb71znMryWFEBvEFMLvaWwSu55rFUGXid
+ktyYvvXOcSrM4Zo2ICg+e94ozRjDMsBDeXtVkd4/q5QggIAYV5ylRW8vVxaXWgBvaKyu172zH2fj
+XI8LvCLmOX3j0rE6tpQlf0CQZMecFl5azvWmb1A5IkdfeOsYggACZva4PH2e23gBb6idvd98qk8l
+d/qitaMlzSJxYL/PnjtSpx2TTxBAAI0pinNrnNcpzolp8TvKlRsnDyCIrqwp0XtnlhIE8JrxNXc0
+Vg94yfWt+60SG8cCkvQuPoyAwDtlfL7mnTEi43PwnPTVt43RMSWsOgGC7LPnjtSpfHkOvCaVumjA
+S6487y0kDUgnj8/X59/CsiIgDK6dXaaLK4oyOoNPnjpc50zgnpxA0L1yGRQ7nwOvcOcNeMl1Ztw6
+CBmvLC+mr71tDDspA2H5eJT0hQtGq7woM08aTyjP03WnDOeNAIREaW5Mt186lo2ogP0f4udedY/1
+eIONXpfcmsVrjpU0kaSR6SfLX75wjEYVxAkDCJHCHE//dfGYjLuPdX6Wp/+8cAz37wZCpmZ0ruZy
+qQUgSaXLN6/u8Z5QvS65ptQFZIxM99GThunciSz5A8Jo9rg8feD4sox6zZ85bxS7vwMh9aETy/SW
+SYUEgYznnN/j1cS9LrnOZ6kyMtuMMbn61Oks+QPCbN6ZI1QxIicjXuv5kwp1ZXUxgw6E9cRe0pcv
+Gq2xRaweQ6aXXA1cyZWzc4kYmaoox9PXLxnLdbhAyGXHnP7rojGRv9atLC+m2gtGM+BAyBXnxPTV
+i8dyyQEym+mc82of7dG3Pb0qudMWr6iQ3LEkjEz15QvHsNMhEBFTR+bo+lOjvSrj828ZreH5MQYb
+iIDZ4/L0yVNZSYaMVrSzuPzEtJfcmHnnkC0y1bunl+iCyVwTA0TJR08apuPH5kXytV1ZXawLp3DM
+AqLkulOGR/aYBfSE81yPVhX3quSa3FlEi0w0ujCum88aSRBAxHhO+spFY5QTj9YawDFFcd1yLvfw
+BqJ4zPrShaOVw22FkKHM7My0l1w5nUG0yES1F4xWYY5HEEAEHVuapY+eNCxSr+nms0eqMJtjFhBF
+E8uydQMbYCJTOZ0ps6N+y9PjT8DKr9ePkKmCZJFprqgu1tkTuF0QEGUfnT1M40uicb39acfk6+KK
+IgYViLBrTxzGsmVkqhFTb1911E7a45Ibz7IztX8XcyBjjCyI6/+dwzJlIOpy4k63RODvesyLxusA
+cJQTeJYtI5Pf//HYUZcs97jkOtOZRIpM82/nj1JxDjuTApng/EmFOmdiuFdtfPCE0oy5/y+Q6SaW
+Zeu6U1i2jMzjenBdbi8u2HGUXGSUd0wtZjdlIMPces4oZYd0ZmRUQVyf4IQXyCgfPalM1aP4YgsZ
+56ibIfeo5E65ozFH0onkiUxRmO3pprNGEASQYY4tzdK1s8tC+dw/ffZIFbDZFJBRYp5T7QWj5bFq
+GZml8oQ7Gkf2u+RmSSdIyiVPZIrrTxuukQVxggAy0HUnD9e44nBtQnVieZ4uqWKzKSATVY/K1eXT
+igkCmcQlfDu13yU35tspZIlMMWlYtv5lVilBABkqJ+706bPDs3lTzHP6t/NHsTMkkMHmnzlSRdzq
+EBnEZCf3u+T6R3kQIEpuOWek4qz7ATLahVMKQ3PrsH+ZVapKNpsCMtqw/BjX5CPT9L/kuqM8CBAV
+b51SqDOP4564AKRbzx0Z+E2ohufHdP2pnNgCkD5wPLurg5Lb45I7+6trSiRVkCOiLifudPPZ3F8S
+wH7HlWbrA8cHexOqm85iiSKA/bhPNjLMiKkLV07oc8ntzEnMVq9uNQSE00dmDwvdZjMABtYnTh2m
+0YXB3ITuhPI8XcZmMwBe57Rj8rn9ITKG59zJfS65cixVRvSV5cV07YllBAHgIPlZXiA3ofKc9Lnz
+2GwKwJstOGuEYuwtggxwpEtqezJDS8lF5F13yjDuLwngkC6pLNKpx+QH6jldM7NUU0dy7R2ANzuu
+NFvvZJUHMoLXn5LrZhMgomxUYVxXTS8lCACH9dnzRgVm1/XS3JiuP43NpgAc3vWnDg/8xnlA/9ls
+1ZrX65J7/MJ1pZKOI0BE2Q2nDldOnA8CAIc3OUD3z77prBEqzY0xKAAOa2xRXO+ZXkIQiLqimuI1
+k3pdclOx5CyJS34QXceVZuvyapb0ADi6G04brlEFQ7sJVc3oXF1ezYkrgKP7+CnDlMuX+Ig6z5/V
+65Jrvs0iOUT9pDXO5gwAeqAg29P8s0YM3ee4k/7tvFHikAWgJ0bkx/X+49lUExFn6n3J9Z0ouYis
+iuE5eltlEUEA6LF3TC3WSePyhuRnv2d6iWaMyWUQAPTYx04apuIcLm9AhLk+lFxnlFxE1ydOHcaM
+CIBefpZKnzlv1KDfnqM0N6Y5Z4xgAAD0SlGOp2tmcYkDIsysdyX3qnssJlk1ySGKxpdk6cIpzOIC
+6L2qETm6ZsbgnjTOOYPNpgD0zQdmlbHBJqLL6bgZ315a1uOSu2Lb6ipJeSSHKPrwiWXM4gLosxvP
+GKGRg7QJVfWoHHZJBdBnw/Jjupz75iLKknkze1xylWLTKURTaW6Mm6QD6JfCbG9Qlg97Tvrc+aP5
+Ug5Av3xkNpdoIboOtVnyYUuuOZtOZIii9x9fqrwsjyAA9MsV1cWaNTZ3gH9GiWax2RSAfhpfkqW3
+TC4kCESz5Dqb0eOS60lcj4vIyY07XTOzlCAA9JuT9LnzBm6WtTgnpnlsNgUgTT520jBCQERbrqb2
+uOSaNI3EEDXvqilRWR6btwBIj4G8XvbG04drWD7HKwDpMX10rmaPY7sdRNK0HpXcmtq6bEmTyQtR
+4jnpgydwU3QA6TX3jBFp//Js6sgcvZdVJwDS7NoTOQ9CJA2fedvqUUctuVacXSEpTl6IkrOOK9D4
+kiyCAJBWJWm+h62TdOu5o9gkBkDanTuxUOVFnAsheizHph215MpLcT0uIuc9M7gFB4CB8e6aEs1I
+0wZRl00r1kksKQQwADwnXVHDHSYQwZJrqaOXXCfH9biIlJEFcZ0zoYAgAAzYieO/ndf/2deCbE/z
+z2SzKQAD56rpJYqxVAQR45t6MJPLplOImPdML1GcAzqAAVQzOldXVPdvxcinThuukQVcLQRg4PDF
+P6LojZO0hy65RslFdHhOupKlOQAGwYKzRqg0t2+bUE0Znq33zSolRAAD7qrpXMKFyKk+csk1c3Ka
+Qk6IirMmFLDJAoBBUZob0w2nDe/Tn/3seaNYcQKAcyOgb8pn3vZSwWFLbtU368dKYg0DIuPq6aWE
+AGDQvHdmqaaP7t0mVJdWFemU8fmEB2BQsMoNEeQslj3psCU3lnDcHxeRMbIgrrMncOIIYHBPHm85
+Z6R6OidbkO3p02eNJDgAg+pdNSXcqgyRYjFvymFLrmKOpcqIjLdVFrGDIIBBd0J5ni6b1rNZkk+e
+OlyjCtlsCsDgGl0Y1+xxTAQgSl6brH1TyXXymclFZFxaWUQIAIbETWeNVFGOd8Tfc1xptv6FzaYA
+DJFLOE9ChPjmH77kyliujGgYX5Kl6WNyCQLAkBieH9P1px55E6rPnDdS2TFWmwAYGhdXFCqLYxAi
+wnPuCMuVxc7KiIZLKovEYRvAUPqXWaWqGpFzmJPLIp11HPs8Ahg6JbkxnX4MS5YRDWY6wkyumMlF
+NLBUGcBQi3lOnzt/1Ju+cMuNO9109ggCAjD050tVnC8hMo6tqa3LflPJnfHtpWWSlZEPwm7SsGxV
+HGb2BAAG04nlebrkDSeR150ynHtUAgiECyYXKi/LIwhEQSxV5E2QpIO2c0x25U30nE88CL23V3Hv
+Nwy8RMq0qz2l7W0J7W5PqbXLV1v3/v/tTJqSvqm9+83H1IJsTzHPKe5JRTkxleR4KsqJqSjH04iC
+uEYXxlWYzQlHlHz67JH627p92tft67jSbH3oRL5PjpqmjpR27ktqd3tKLV37jwOv/K9v+48XHYk3
+Hw8Kczx5zqkw29v/K2f//44siGtUYVwj8uPc5gUDKi/L0zkTCvTnxlbCQOjFs+ITJDW84Z4F/rFE
+gyi4uKKQEJAWvkkb9narfleXXm5KaF1Tt17e261NLQntaU8N2M/Nz/I0piiusUVZmliWrUnDsjWx
+LEtThueoLC/GwITMqIK4PnnqcH39HzvZbCrEtrYmta6pW2v3dGntnm6tb0poW1tC21uT6krZgPxM
+z0kj8uM6tjRLx5Vla0JptiaWZWvqyByNKeLWU0iPt1UWUXIRCZba32cPOjrGnDvWZKSDUJtQlq0J
+ZdkEgT5p6UppyeYOPbupQ3U7OrVqZ5f2dQ/+Cpf2hK+1e7q1dk+3nnh530H/bWxRXNNH52rGmFzN
+HJOnGWNylUNpCrwPHF+q5s4Um02FRGuXrxe2dGj59k4t396pZds71dSRGvTn4Zu0Y19SO/Yl9dzm
+joP+W1leTNNG5mjmmDydND5PJ4zNU06cYwF674xj85Udc+pO0QMQck7HvKnk+uYf4xwHR4TbeRM5
+gUTvTiCXbevUo2vb9MTL+1S/q0t+wD/jt7YmtbW1TX9d3SZJyok7nViep9OPzdfpxxZo2kiuRw+i
+uOc09ww2mwqqlG96YWunntqwT//c0K5l2zsDfyxo6kjpyQ3tenJDu/SMlB1zmjEmV2dPKND5kwo1
+eRhf+KJnCrI9nTQub/97CQi3N8/kSo7lygi9cym5OAqT9PzmDv1uVYseWds2oMuOB0NX0vTUhnY9
+taFd0i6NK87ShVMKdVFFkWaMyeVWWsBhJH3T0xvb9ZfVbXpkTduQzNSmU3fKtGRzh5Zs7tCiJ3bp
+mJIsXTilSO+cVqwpwym8ONr5UyElF5EpuQed+0xfVP+USaeRDcKqKMfTPz4+WXF26cAh7NiX1H3L
+m/XAyhZtak5kxGseV5ylK6qL9a6aEo0u5Po9QJIad3fpvuXN+v2qVjV3pjLiNVePytXl1cW6fFox
+G9vhkDY2J3TJD9cRBMJudd28qoqDmkDNovrNksrJBmH1tsoiff2SsQSBgyzd1qmfvtikvzS2Keln
+5vVGnpPOnlCg980q1ZnHFTC7i4yT9E1/bGjVL5c268WtHRmbQ0G2pyuqi/X+WWU6tpTbWOFg7/zJ
+eq3d000QCLOuurmVea+e59TU1mWrNN6hN9w7FwiTr148Ru+Yyu2DsN+LWzv0/ef26LG1+wjjdSpG
+5Ogjs8t0aWWRYqx6QMS1J3zdX9eiHz6/R1tbkwRygOekC6cU6VOnD9dENmvEAd94fKfuXtJEEAg1
+p6wxr57dzFjYMMl3toZYEOYP7L9/fLJKc7m9SqZbubNLtz++88A1qjicccVZuvH04bq0qpj7cCJy
+upKmn77YpB8sacqYJcl9/ex8x9RizTl9BLckgp7b3KFr79tIEAi7U149mqXMxrGxMsLs+LF5FNwM
+19SR0p1P7dJ9y5vlcxeEo9rcktCtf96mHz3fpAVnjdTpx+YTCkLPN+mBlS365j93aRsztz3O66+r
+2/Sxk4bp2tll3JIsg50wNldFOZ5au3zCQJiPa+NeW5rs2RgiQZiddgwn6Jnstytb9PYfrdc9yyi4
+vbVyZ5f+v/s36cbfbaEUINRW7OjUNb/YoH/76zbey73UkfB151O7dPlP1uvZTayCyVQxz+nkcZxP
+IezvYxvzWsl1HiUXoXbS+DxCyEC721Oa+/st+txftqmliyWJ/fHo2ja948fr9L/P7eGLAoRKZ9K0
+8IlduuYXG7RiRyeB9MOm5oQ+8qtN+sLD29WeYDaP8ykghHz3Wsl18keTCMIqO+Y0awwH5Uzz+Mv7
+dMVP1+vhNW2Ekeay8K/3btDmlgSBIPBe2tqpy3+yni9n0sgk3bu8WVf/fIMad3cRSIY5eTwzuQg5
+9/qZXHPM5CK0Zo7JVU6ca4gy6QTsf5/bo+t/u1lNHczeDlRxePfPXtbvV7UQBgJ7HPjZi3v1ofs2
+8oXMAFnf1K1rfr5Bv1reTBgZpGpEjopyuNkKwvz54I193UyuuLkoQuskrh/JGO0JXzf8drMWPrGL
+WZsB1tbt69Y/b9PnH9qu7hRhIziaOlL62K836b/+tiNj7309WLpSpv94eLu+9vedHHMzhOek2eNY
+HYcQO2gmV2w8hfA6metHMsKu9qSuvW+T/r6e+94Opl/XNesjv9qk3e3MmmPoNe7u0jW/2KCnN7I5
+0mD6yQtNmv/gFnUmabqZgMkDhJq9ruSaHNfkIpSyYk6zxlJyo25jc0Lv/+VGNpUZIi9u7dD7frFB
+Dbu4Pg9D57G1+/SBe1iePFQeXtOm/+/+TWrrZkOqqDuFyQOE2isbT9WaJ2kUgSCMZo7JVS7X40ba
+5paEPvbrTZzYDrEtrQl96L6NemFLB2Fg0D1Y36q5D27RPgrWkHphS4c+8qtNau5kZUeUTR2Zy3W5
+CLNsT5IqCxuGScoiD4TRieV82xhlG/Ym9MF7mbkJitYuXx//zWY9uYGlohg8//fSXt36p61KcVFo
+IKzY0alP/HYzM7oR5jnpeFbJIczvYUnKydEIokBYTR+dSwgR1dSR0nW/2aTtbUnCCJCOhK8bHtis
+v63j2mgMvB8+36SvPLZD1NtgWbatU/N+v0UJNqXj/AoIasn1kzacKMBBGEHSlTR96nebtbGZGdwg
+SqRMC/6wRc9uYkYXA+c3K1r0jX/sJIiA+ufGdn32L9vYdTmiakZxfoWQl1xZbBhRIIyG58c0ujBO
+EBFjkm7581a9tJVNpoJs/xcRW7R8O+OE9PtDfas+/9A2ZnAD7o8NrfrO07sJIoold3QOISDkJdcx
+k4uwHoD5ljGKvv/sHj20uo0gQmBft69P/nazNjHjjjR6ZhMzhGFy1zO7uXwhgkYWxDWKiQSEueQa
+JRchNZ2lNJHz5IZ23fnULoIIkaaOlG78HbveIj02Nie04MGtStJwQ8M36dY/b9WGvXzZxXkWEKCS
+6zlRchHOgy8zuZErS5/9M7M3YdS4u0s3/WErY4d+2dfta87vtmgvt6cJndYuX5/+4xa+nIgYVswh
+1CXXfEouwqma60Uipfbh7drVzk7KYfX4y/v03We4Ng99929/3abG3V0EEVIrdnTpe8/uIYhIlVzO
+sxDikivPsfEUQmd0YVwj8rlWJCp+u7JFD6/hOtyw++7Tu/Xc5g6CQK/9cule/ZVr8UPve8/sUR2b
+0UWn5LJcGaEuucY1uQifaaP4djEqmjpSuu3v3CYkCnyTbvnTVpabolcad3Xpv7lVUCQkfdMXHtnO
+pQsRUZYX05giJhQQ1pIrlRIFwmZSGSU3Km5/fCelKEK2tyX1xUd2EAR6pDtluvlPW9WVpBVFxYod
+Xfr50r0EERGTh3G+hfCW3CKiQOhK7rBsQoiAF7Z06DcrWggiYv7S2MptoNAj3392j1bv7iaIiLnz
+qV3sscD5FkDJBXprMgfdSPj64zvF/E00ffnRHWrpYoYeh9e4q0v/8xwbFUVRW5ev7zzN2FJygSEt
+uUbJRag4SRM56Ibew2va9NJWNiiJql3tSX3jce55jEPzTfqPh7crkeJrrqj61fJmvbyXWfqwY1IB
+oSy5V91jMcnlEQXCZFRhXIXZHkGE/AR38ZMUoKi7v65ZK3bwRQbe7IGVLVq6jfdGlCV90x1Pclsx
+Si4wBCW3cfP6Iu2fGAM44GLQ/LGhVWv38A1/1PkmfeUxlqTjYO0Jny+5MsRfGlu593HIleTGNDw/
+RhAIV8lN+imWKiN8JXc4O/2FmUn64RKu1coUL27tYBMqHOT7z+3Rzn1sSpQ5x/smggi5SeywjLCV
+XMvielyEz8QyZnLD7B/r9mnlTr7ZzyQLn9ipFDfOhKQd+5L60fOUnkzyYH2rtrQmCCLEWEGH8JVc
+n5KLMJbcLEIIsbs5wc04G/Ym9LtVrQQB/eC5Ju6Jm2GSvulnL+4liFCfd1FyEbaSa9w+COEzroSS
+G1brmrr13KZ2gshAdz2zm9ncDLerPal7l1N2MtGv65rVyZcboVVeHCcEhKvkOs8vIAaE600rjS7g
+YBtWv1zazCZEGWpjM7O5me77zzKLm6lau3z9pZG//+EtuUwuIGR9wTOXSwwIk9GFccU8NgQPo66k
+6YGVLQSRwf73uT18yZGhmjtTuo9Z3Iz2y2WMf1iNK6LkImQl15wouQjXgZZvE0Pr0XVtaulKEUQG
+W9fUrcfX7yOIDHTPMparZrqXtnZqfRO3jgujwhxPhTkeQSBEJZeZXITMWL5NDK0/sFQVkn7KBjQZ
+J+mbfrGUccf+e6QjnMo5/0KYSq7nfEouQoWZ3HBq6Urp8ZeZwYP0xMv71LiLW0hlkj83tml7G/fF
+hfS7VVyywvkXMAgl1yTu7oxQGVvEplNh9PDqNnWnWKqI/e6rayaEDHIv12LigA17E9wnPaTKOf9C
+mEquWK6MsB1k+SYxlB5ZyywuXvPgqla+9MgQm1sSWrK5gyDwqkfXthFCCI3l/AthKrnM5CJ0B1m+
+SQydrpTpnxu5Ny5es7czpcc40c0Iv6rjtmE4GH/3w4nlyghVyXXsroyQGck9ckPn6Q3t6kj4BIGD
+/GYF1+ZFnW/itmF4kxU7urSlNUEQITM8P0YICE/JlVFyER45MaeCbLawDxs2nMLh3hd72rmlVJQ9
+u6ld21rZcApv9sTLrO4Jm2F5lFyEqeRKrD1AaAzPZxY3jFiqjEPxTXqYZYuR9pfVjC8O7dlNfC5w
+DgYMYMk15/haBqExjKUyobO7PaV1e7oJAocuQY3cMzOqfJMeWUPJxaH9c2M712qHTFGOp+yYIwiE
+o+Q6M9Z+Ijwll6UynMggUp7Z1KGmDpYsR9GSze3auY+lyji0Pe0preUL0NAp5TwMYSm5MvFuRWiU
+cXAN5YkucDgp3/TYOq7ZjqKH1zCu4PMhaoZzHoawlFznOWZyEZ6DK9eDhM6ybZ2EgCN6fD1lKIr+
+wbiCz4fIGcZ5GMJScs2Mr2QQooMrb9cw6UqaGnezHA1H9uSGfUr5LGqPks0tCb28l7/7OLKllNzw
+nYcxk4vwlFwxkwsOrhgQdTs6laS84Chau3y9xMlupPydJejogXVN3Wrr5h7qoToPY7IBYSm5zjGT
+i/AozeXtGiYrd3QRAnqEpa3R8sQGxhNH55u0aiefE5yHAQNQciWuyUV45Gfzdg2T+l2cvKBnnuFe
+ypEqLs9t7iAI9EgDnxOchwEDVHL5SgahUcDBNVQad3Pygp6p29GlziRL26Ng1c4utXWxBBU9s5rP
+iXCdh2VxHobQlFzukwsOrkg/36Q1bDqFHkr6pqXbmP2LAm4Lg95o2MXnRKjOw5hsQGhKrpMjBoRF
+fjZv17DY0pJQe4LZHPSmHFFyo+D5LYwjeo6ZXEouMCAl1xklFyE6uDKTGxobmxOEAMoR4wgcUVu3
+rz3tKYLgPAxIb8k1UXIRkjerk3I5uIbGBu6RiV5atr1TXJUbbptbEtpNYUEv8aVoeORnURsQkt4g
+Si5Cc2D1eLNy0oIIa+vytYn3Tait4LZh6NPnBV+KhuZcjOXKCE/JdfQGcGAFJReBULejkxBCXXIZ
+P/TeBj4vwnMuxoo6hKbkmk/JBQdWpN3WVk5a0IeStJ2ZwDCr207JRR8+L1r4vAgLNp5CeEouEBJ5
+cb6PCZMdbVyXh95buZOSFGardvElBfrwebGPz4uwyI45xTzOxxCCkus8R9FFKMRjHFTDIumb9nQk
+CQK9tpp7K4fWnvYUu+SijyWXz4tQnY9xOoYwlFwiQGgOqnxzGBo796Xks00u+vTeSaqli6IURmub
++IICfSy5bZTcMGEmF6EoucZ9chGWgyrv1FAVFaDPZWkPZSmc48ZSZfRNS2dKXSm+GQ0LJh0QipIr
+biEEDqpIs70dzMSBkptp1rDUHH1kkpr53AiNGOtAQckF0vhm5Z0aGiw3BSU3A8eN5croh+ZOPjfC
+gkkHhKTkcp9chOSgynrl0NjLyQr6gXssh9OGvYwb+lFyu3xCCAlmchGOkst9chGWksv3MaHR0snJ
+CvpuM/fMDB3fpO1sHoT+lFy+HA1PyeV8DGEouc7xTkVI3qx8cxgard2UXPTdJkpu6GxrSyjJluro
+hxZmckMji5V1CENvMMc1uQgHrgEJj64kJyvou7Yun+u6Q2YzS8zRT4kUnxuhKQ+cjiEM71NxCyFw
+UEW6T1Y4V0E/baI0havktrBUGf3TlWQlQFhwn1yEo+SyuzJCgjdqeHQzk4t+2sb1nSEbL76UQP8k
+WO7O+RhAyQUQ6JKb4mQF/bNrHyU3THbuY3k5+oeZXABpLrlGyQVAyUXASi6lKVzjxZcS4HMDQKBK
+LgCkV4KTFfS3NLVTmsJkJyUXfG4ACFLJdeIWQgDSi2/k0f/SxEwuJRd8bgBAH0uucU0ugDRjAxH0
+125mckNlTztfSoCSCyBAJVfcJxdAuk9W2EAE/dTcyQ7dYdGZNHVRUEDJBRCokst9cgGkGXcQQn+1
+dDEzyFghk3BNLoD0llyWKwMAAlecfHHKGw7NnZRcAEDwSi4AAIGS8k37ulkSEAatXYwTACBgJdfJ
+KLoAgMBhGWxIxonrpwEAQSu5xi2EAAABtI8ZwnCMU4JxAgAErOSKa3IBAAHUwS7d4RgnSi4AIHAl
+l92VAQAB1Mk23YwTAAB9KrncJxcAEMTylGAmNww6GCcAQOBKLgAAQSxPzBCGQhfLygEAgSu5zOQC
+AAKok/IUknHiywgAQMBKrjN2VwYABE8iRckNg27GCQAQtJJrMkouACBwfKM8hWOcyAAAELCSK24h
+BAAIoBSrYENScmm5AABKLgAARy+5lKeQjBMZAAAouQAAHL08MZMbCj7rlQEAlFwAAHpQnuhOocBM
+LgAgeCWXWwgBAAJZnmhPYcAwAQCCV3K5hRAAAAAAIDIll1sIAQAAAACiU3JZrgwAAAAAiE7JBQAA
+AAAgMiWXmVwAAAAAACUXAAAAAABKLgAAAAAAA1JyjZILAAAAAIhKyXXcQggAAAAAEJWSK0fJBQAA
+AABEpeSyXBkAAAAAQMkFAAAAAICSCwAAAAAAJRcAAAAAAEouAAAAACBTSi4AAAAAAJRcAAAAAACC
+VnIpugAAAACAyJRcrskFAAAAAFByAQAAAACg5AIAAAAAQMkFAAAAAOCIJddRcgEAAAAAUSm5RskF
+AAAAAESk5DqWKwMAAAAAolJyjZILAAAAAIhKyWXjKQAAAABAhEouAAAAAACRKbnM5AIAAAAAKLkA
+AAAAAASs5HILIQAAAABAZEquo+QCAAAAAKJSclmuDAAAAACg5AIAAAAAELiSCwAAAABAZEouRRcA
+AAAAEJmSy3JlAAAAAAAlFwAAAACAoJVcAAAAAAAiU3KZyQUAAAAAUHIBAAAAAAhayQUAAAAAgJIL
+AAAAAEDQSq4RAwAAAACAkgsAAAAAQMBKLgAAAAAAkSm5zOQCAAAAACi5AAAAAABQcgEAAAAAGKCS
+CwAAAABAZEouM7kAAAAAAEouAAAAAAABK7nmEwMAAAAAICIlFwAAAACASOj0JMdyZQAAAABAFLQz
+kwsAAAAAiAhrY+MpAAAAAEBEOEouAAAAACAqHVdtLFcGAAAAAESDiZlcAAAAAEBUuDZPjpILAAAA
+AIgCv82TUXIBAAAAABFgjmtyAQAAAAAR4anNkxwzuQAAAACA8Nu/8ZRRcgEAAAAAoee4Ty4AAAAA
+IDLMp+QCAAAAACLCY+MpAAAAAEB0MJMLAAAAAIgI45pcAAAAAEBEOEouAAAAACAyLMk1uQAAAACA
+aHBZzOQCAAAAACIiZjFKLgAAAAAgGnKTuZRcAAAAAEAkpJ5acEwHJRcAAAAAEH5ObZLExlMAAAAA
+gPAztbxScpnJBQAAAACEmpNrpuQCAAAAACLBZK+UXKPkAgAAAABCzUmvzuQCAAAAABBq9mrJNcdM
+LgAAAAAg5CX3leXKjmtyAQAAAADh5uSx8RQAAAAAICol1169hRAAAAAAAKFmJmZyAQAAAADR4Nyr
+txCSTxwAAAAAgDAz6ZXlyuyuDAAAAAAIN1/e3gMl11LEAQAAAAAIM897bbkyJRcAAAAAEGqplE/J
+BQAAAABEQ1ZOYn/JdbIkcQAAAAAAQsyG79jdKkmeyTGTCwAAAAAIs32P1Z6flCTPsVwZAAAAABBu
+za/8g2eUXAAAAABAuLW8WnKZyQUAAAAAhJljJhcAAAAAEBUm91rJdY6SCwAAAAAIcck1e91MrrG7
+MgAAAAAgxJztebXkOhklFwAAAAAQ5pb7Wsk1R8kFAAAAAISX51zTq/8sc0kiAQAAAACElu+/NpMr
+xzW5AAAAAIDwSr1+ubKM5coAAAAAgPBypt2vlVw2ngIAAAAAhJr3+plclisDAAAAAMLLXOL11+SK
+kgsAAAAACK1ELOt1JVeUXAAAAABAaO1bPaei67WSa5RcAAAAAEBo7Xn9//HkcU0uAAAAACC0dh9U
+cp1vSTIBAAAAAIRU00El1zwlyAQAAAAAEErOHTyTK3OUXAAAAABAOPn2hmtyTd2kAgAAAAAIJfem
+jad8ZnIBAAAAACHtuO4NJVcsVwYAAAAAhJV/cMk1Y+MpAAAAAEBIuTfM5HrM5AIAAAAAQttxvTcs
+V3Y+G08BAAAAAMIpmXzT7srM5AIAAAAAQqk76w33yTWWKwMAAAAAQqqrMOeNy5W5Ty4AAAAAIIys
+ff2HJ3YeXHKNmVwAAAAAQBi57W/8N57kU3IBAAAAAGG0400l15jJBQAAAACEkbM3l1x5XJMLAAAA
+AAghc8zkAgAAAACiwblDLFeOU3IBAAAAACFkpp1vKrnykixXBgAAAACEjpO9ueSyXBkAAAAAEEpe
+7BAbT5lHyQUAAAAAhI6lDrW7csyxXBkAAAAAEDrJuH+o3ZWZyQUAAAAAhI4VZre9+Zpc3++i5AIA
+AAAAwqZpyXUnvanPepbFcmUAAAAAQMg47TrUv/Zizu8iHQAAAABAyGw/ZMnNjXdQcgEAAAAA4WJv
+3llZkrwDa5hTJAQAAAAACA3nHbrkHvhfrssFAAAAAISn4/p2xJLLkmUAAAAAQGiYtPNIJbeTiAAA
+AAAAoSm57tAzufEDFbhLjpAAAMHRlTS1dLFlRNB1p4wQAABDwjPbefiS66lLfEYBAALkO0/v1nee
+3k0QAADgkCwWP8I1ucZyZQAAAABAeCS6/SOUXEfJBQAAAACERrKhrXLP4UuucQshAAAAAEBobFOt
+8w9bch0zuQAAAACAsHDacrj/5EmScZ9cAAAAAEBYmDYfseTKKLkAAAAAgJA42kyuZCxXBgAAAACE
+o+PaUUquY7kyAAAAACAkzNyRS65PyQUAAAAAhISLuaPN5HosVwYAAAAAhIKfPNrGU8zkAgAAAABC
+IpbbcZSZXGPjKQAAAABAKHQsu35m0xFLrnmi5AIAAAAAwmDLkf7jgZlc105OAAAAAICgczr89biv
+llwzo+QCAAAAAALPejKTK4+ZXAAAAABACLieLFeWdZAUAAAAACDozHpQcs18ZnIBAAAAAIHnzPWg
+5PoeJRcAAAAAEHi+68HGU7EYM7kAAAAAgODzfK8nM7kxSi4AAAAAIPBiqX1bj1pyU1lJSi4AAAAA
+IOj2Lr151r6jltxcP4uSCwAAAAAIus1H+w2eJHXHurmFEAAAAAAg6Lb0qOTW7axul2TkBQAAAAAI
+LOthyVWt8yV1kRgAAAAAILCcbexZyd2P63IBAAAAAMFl7mVKLgAAAAAgEpzrVcl1bD4FAAAAAAis
+ZCrVi5JrxkwuAAAAACCorHNY7oael1xHyQUAAAAABNa29R+e2NnzkitHyQUAAAAABJKTXu7J73t9
+yd1HbAAAAACAILLellzn1EpsAAAAAIBgcut7VXJlfhuhAQAAAAACWXHN791Mrm/M5AIAAAAAgsnv
+9Uyu55jJBQAAAAAEkot5vbwm12cmFwAAAAAQULHuDT35bczkAgAAAACCblfdDTU96qyvlVxmcgEA
+AAAAwbS+p7+RWwgBAAAAAALOXu7p73zdLYRSLFcGAAAAAASO6+HOygeVXOcxkwsAAAAACB7fud7P
+5CaSlFwAAAAAQPA483tfci2b3ZUBAAAAAAEsuX3ZeErtzOQCAAAAAIInpzOr9zO59R1V+yT5xAcA
+AAAACAyn5iW3Tm7udclVrfMltZMgAAAAACAw/J4vVT645O7HkmUAAAAAQJD0p+Sy+RQAAAAAIDhM
+1tjnkuvkM5MLAAAAAAgMz9OaPpdcZnIBAAAAAEGS8r3VfS65JrUQIQAAAAAgKEypfpXcvUQIAAAA
+AAiIrhnjpm7sc8l1lFwAAAAAQHCsvfdql+pzyZWMkgsAAAAACAZnq3v7Rw6eyXVeMykCAAAAAALB
+XP9KrvnM5AIAAAAAglJye3f7oDeVXJYrAwAAAACCwsW8xn6VXF8eJRcAAAAAEBT9m8mNmU/JBQAA
+AAAEQfeIPZte7lfJNcUpuQAAAACAIGh8rPb8ZL9KbsJPUXIBAAAAAAFgq/rypw4queVtW/ZKMsIE
+AAAAAAxpxZWr73fJPTAVvI84AQAAAABDyUn9L7kH7CVOAAAAAMBQSstM7v627Ci5AAAAAIAhlW1Z
+6Sm5JqPkAgAAAACG0vYX50/sUzdluTIAAAAAIFisbzsrH7LkGiUXAAAAADCk+nY97iFLrqPkAgAA
+AACGVvpKrrgmFwAAAAAwhExambaSa+yuDAAAAAAYQs6L16Wt5Dpzu4kUAAAAADBEWuvmTNqYtpIr
+Si4AAAAAYIg4qU7OWdpKroslKbkAAAAAgCFhUl1//vybSm4yqV3ECgAAAAAYIuktucoRM7kAAAAA
+gCHh5JanteSu2jW1SVKKaAEAAAAAgy0R89M8k1vrfElNRAsAAAAAGGR762+cuiW9JXc/liwDAAAA
+AAZbXX8f4HAll82nAAAAAACDysmW9/cxDlNyuVcuAAAAAGBwWT83nTpsyTXHTC4AAAAAYJA5vTgg
+JdeZz0wuAAAAAGAwWW5HfNmAlFyx8RQAAAAAYHCtW3Lr5OYBKbmOjacAAAAAAIPrxXQ8yCFLbsrY
+eAoAAAAAMKheGrCS64zlygAAAACAwWPOBq7kes6xXBkAAAAAMGh8fyBLbtJjJhcAAAAAMFj2rpo3
+9eUBK7lVx03eLcknZwAAAADAQHPSUjlnA1Zy773apSTtJWoAAAAAwICz9Gw6ddiSe8B2kgYAAAAA
+DDRf9vQglFxHyQUAAAAADDjnZf1jEEqubSNqAAAAAMAA21Q3d/KGgS+5xkwuAAAAAGCg2d/T+WiH
+Lbkmo+QCAAAAAAaUMz0+KCVXnmO5MgAAAABgQKU8/x/pfDxmcgEAAAAAQ2X3yqZpKwal5Mr3mMkF
+AAAAAAykB1Xr/HQ+YPxw/yHb/O1JR+IIjmXbO/WFh1lgEAY79iUJAQDQY8v5jA+N7W18xiO9nNNv
+0v2Yhy25ZS1btu8sLfd1xNsMAYNnw96ENuxtJggAACJmY3NCG5v5jAcyUIfX3fmXdD/oYQvsY7Xn
+JyXtIXcAAAAAQLo56c9Lb561b9BK7gGsGwEAAAAApJ1J9w/E4x6t5LL5FAAAAAAg3ZKJpP4wBCWX
+2wgBAAAAANLu0YZPV+0a/JLrHDO5AAAAAIC0ctL/DtRjM5MLAAAAABhMuzs97zdDVHIdJRcAAAAA
+kDZO+uHqORVdQ1NyzWe5MgAAAAAgbczzfjCQj3+UkhtjJhcAAAAAkBZO+nvdnIoVQ1ZynYtvZRgA
+AAAAAGlqud8f6B9xxJK7fO/EnZK6GQkAAAAAQD/tLErl3zekJVe1zpe0hbEAAAAAAPSHM7f4qQXH
+dAxtyd1vE8MBAAAAAOiHVpfT+e3B+EGUXAAAAADAALPvLrt+ZlMgSq6ZUXIBAAAAAH3VlYxp0WD9
+sKOWXCdtZkwAAAAAAH3hZD+sv3HqoO31xHJlAAAAAMBASaWc/43B/IFHLbl+LEbJBQAAAAD0numn
+K+dWNwaq5GYxkwsAAAAA6L1OefHPD/YPPWrJrRozZaukFOMDAAAAAOgp57Swbu7kDYErufde7VKS
+tjFEAAAAAIAe2pXTEf/aUPxgr4e/jyXLAAAAAIAeMee+uOTWyc0BLrncKxcAAAAA0CNrXVPirqH6
+4T0rueZRcgEAAAAAPeiPdktdbU13oEuuc9rMSAEAAAAAjlJw/1Y3r+pXQ/kUelRyjZILAAAAADiy
+Lifvk3LOAl9yfWPjKQAAAADA4ZnTfy2fX7lyqJ9Hj0punJILAAAAADgs19henP21IDyTHpXcjpjb
+LMkYOAAAAADAG5icf936D0/sDE3JXT2nokvSdsYOAAAAAPCGivvjurlTHw3K0/F68XvXMnoAAAAA
+gNfZnR3zbg7SE+pFybX1jB8AAAAA4NWW6Oz6F+ZU7AxnyXVaxxACAAAAAPY3XP1oxdyp9wTtafW8
+5PpuPaMIAAAAAJC00cvpmh/EJ9bjkmseM7kAAAAAAPnO/A8uu35mU6hLbsx3lFwAAAAAyHjutuXz
+pz0W1GfX45I7vHnzBklJBhQAAAAAMtaL2pv4fKAreG9+c82i+nWSJjCuAAAAAJBprN382CkrFlTU
+BflZer377Ww+BQAAAACZ2XG9G4JecHtdcp18rssFAAAAgEzrt7Lv1s2v/GEYnqvXuxfGTC4AAAAA
+ZJgXS/yCBWF5sr2byXXcRggAAAAAModrisXsXU8tOKYjkiXXRMkFAAAAgAzhm9n7l944NVQ9sFcl
+N56i5AIAAABAJnCmL62YX/XHsD3vXpXcl1oqt0rqZLgBAAAAIMoNVw8ub678Yhifeu9uIVTrfDlt
+YMQBAAAAILLqcjvi71et86NfciXJHEuWAQAAACCatsrFL11y6+TmsL6AXpdc4165AAAAABBFHSZ3
+Zd3cyaFevev1/g94axl7AAAAAIgU3zm9f8W8yqfD/kJ6XXJTZo2MPwAAAABEh5O7dfncqvuj8Fp6
+XXJjcvW8BQAAAAAgMg33+8vnVd4WlZfT+2tymxNrJKV4JwAAAABA2Auu/W5k05ZPRquz90HNovo1
+kibxjgAAAACA0HoyN6/owiXXlbdH6UV5ffxzDbwfAAAAACC0lmZZ9tujVnD7XHJNRskFAAAAgHBa
+razkxS/On7g3ii8u3pc/5Mw19G2hMwAAAABgCG1OmX/hqhtqtkX1Bfat5DrXYDLeHgAAAAAQHrvl
+eRetmlO1Psovsk/LlVPxJMuVAQAAACAsnJrl69K6ORUrov5S+1RyV+6atlFSB+8UAAAAAAh+wTVz
+F9ctqHomE15u33ZXrnW+nFbzbgEAAACA4BfcFfMqn86Ul+z1+U8aOywDAAAAAAU3IiXXOUfJBQAA
+AICAFlyldFGmFdx+lVxfrpF3DgAAAAAEzl6ldFGmXIObtpLrKVXPewcAAAAAgsQ1ec5/a6YW3H6V
+3O6Ex3JlAAAAAAiO7TK9ZdncaUsyuub35w/XLKrfLWkY7yUAAAAAGFLrfZe6aOXc6oy/rNTrzx92
+ErO5AAAAADC0VsR9nUXBTUPJNRPX5QIAAADA0HnSy+4666UFVZuJIg0lV56tIEIAAAAAGBIPJ7vs
+bcuun9lEFGkquea7ZUQIAAAAAIPu57l5rZfU3zK1lSgOFu9XQ/Zjyy2WIkUAAAAAGCzmFtc1VyzQ
+POcTxpu5/j5AzaL6JkmlRAkAAAAAAyplzs1fMbfyTqI4PC8Nj1FHjAAAAAAwoNrke1dScAeh5DrZ
+cmIEAAAAgAGzxTfv3LoFFb8jikEouSZHyQUAAACAgbHUpWKnrZxf8TxRDFLJdeZTcgEAAAAg/f6U
+7LKzlt80ZSNRDGLJ7U55lFwAAAAASCMnfaO6vPId3CKoT9n1X82i+q2SxhAnAAAAAPRLp8x9sm5+
+5Q+Jom/SsbuynBOzuQAAAADQP5sknUPBDUDJNdMyogQAAACAvnHS352yTqqbV/UsaQSg5DoZ98oF
+AAAAgL4wfS8nr/Wty+dN2k4Y/RdPx4P48pY7GWkCAAAAQM9x/W1QS67LStQpEfeVpplhAAAAAIi4
+dTL3rrr5lS8SRXqlpZTW3VDTJull4gQAAACAI3PSb7zsrtkU3IERT+NQLZNsIpECAAAAwCF1OWe3
+LJ9TdYec43rPAZK25cUm4zZCAAAAAHBo603u3OVzpy6m4Iak5DrHbYQAAAAA4BB+0eV5s1bMq3ya
+KAZe2pYr+0ot8RQjUQAAAADYr9M5u3X53KmLiWLwuLQ9kpmrWdywV1IxsQIAAADIcCs933/vsgXT
+WPE6yNJ3yx/nTGYvECkAAACADGYyfS+W6DyZgjs04ml9NM8tkelcYgUAAACQgbY5cx9bPr/yQaIY
+Ol46H8x8e55IAQAAAGQc5+5Lxf3pFNyhl9aZ3FjcLfFThAoAAAAgY7TIuZvr5lZ+jyiCIa0zuct2
+VzZIaiVWAAAAABngCc/cCRTcCJdc1TpfTi8SKwAAAIDosnZJC+r2Vp6zbH7lWvIIlnjaH9F3z8vZ
+2UQLAAAAIIIej0kfWzqvqp4oMqTkOs+WmBEsAAAAgAhxanay/1jeVHWnap1PIBlUcv2U97zzGHMA
+AAAAUem3+oOSsU8sv2nKRtLIwJJbM37KqhVbGvZJKiBeAAAAACGut01yunU5G0uFipfuB7z3apeS
+9BLRAgAAAAhtvZW7N9tzVeycHD7xAXrc5yWdQbwAAAAAQma1nN24fG7Vn4iCkvsac0vk2H0KAAAA
+QGh0S27hvpKs2vUfnthJHJTcgzjnP29ypAsAAAAgDB7xYrph2Y2Vq4iCkntI08qr6lZsqW+XXD4R
+AwAAAAiozZI+Wzev6sdEER3eQDzo/s2n3DLiBQAAABBA3ZK+Gkt0VlFwo2egNp6SyZ52cqcSMQAA
+AIAAediZu3H5/MqVREHJ7RXn3FMyzSFiAAAAAEPPNZr8z62YN/VesqDk9vWhn5SSJAwAAABgKMtt
+k+R/rcvzFq2eM7WLPDJgxAfywWsW1W+UNJ6YAQAAAAyypEw/iCVj/7705ik7iCNzxAfywc30pHO6
+mpgBAAAADBbn9FBKqfkr51UvJw1KbnrfXLKnJEfJBQAAADAYVvlON62cW/UHoshc3oA+urkniRgA
+AADAANso564buXfLDAouBnQmN7eg9YXOjsJ2yeUTNQAAAIA02yXZ1/eV5Cxe/+GJncQBaYA3npKk
+6Yvq/2bSOUQNAAAAIE3aJPetLs99ZfWcihbiwOvFB/oHmPSkKLkAAAAA+q9bph86l/X55fMmbScO
+DEnJ1f7Np0gaAAAAQF8lZfp5Sv7nV82ftp44MKQlN9uLPdXt+yaaLgAAAIDeSTjZT82Pf6VuwZTV
+xIGeGJTiWbO4vl6mSuIGAAAA0JNyK9MvfC/1pZVzqxuJA70RH5Sf4uspOUouAAAAgCPqlumXLuZ9
+YfmcijXEgcCWXHPuKSf7EHEDAAAAOIQumX4UN33xpQVVm4kDgS+5MT/1pO95pA0AAADg9faZ7H9T
+MX2t/sapW4gD6TA4m0HVmldT2rhLsjIiBwAAADLeTmf6djLLv3PVp6btJg6Er+RKqlnU8CfJLiZy
+AAAAIGOtcc7uLEoVfO+pBcd0EAcGQnwQf9bjkii5AAAAQOZ5wjd9fWVz5QOqdT5xIBol1/xH5bhV
+LgAAAJAhfEl/kNlX6+ZPfYI4ELmSm5vf9kxnR1GbpEJiBwAAACJrn8l+Ys6/nXvcYigM6tRqzaL6
+P0i6hNgBAACAyFkj2f/IT/1P3YKaPcSBoTKY1+TKyT1qMkouAAAAEA2+c3rEN/teTXnVr++92qWI
+BJlVcl3qETPulwsAAACEXItMv3Byi5bPq1wpSSvIBAExuDtBcb9cAAAAIMxWOWff9bq7vr/05ln7
+iAOUXEnTF9Xfb9IVRA8AAACEQqeT+13K9L2V8yoelnNGJAiy+OD/SPeoZJRcAAAAINDcEjl9L9np
+/7z+lqpWSdJ8UgEl901SLvmIZzGSBwAAAIJnr0z3SO47dfMrXyQOhNGgL1eWmatZ3LBF0hjiBwAA
+AIac75weMdNPiv38e59acEwHkSDMBn+5snOmRfWPSbqG+AEAAIAhU+ek/0vFUz9b+anql4kDlNz+
+Fd1HZUbJBQAAAAbXZpP9yjl3b93cqseJA5TcNPGVfNQT1+UCAAAAA86pWb4ekHn3jmzZ9MfHas9P
+Egqi/ZYfIjUL69fL6TiGAAAAAEi7Lkl/lXRvbl7RfUuuK28nEmSK+BD+7MckfYghAAAAANKiQ9If
+zezXeV1Zv19y6+RmIgEldzB5elRGyQUAAAD6ztol94ike5Nddn/9LVNbyQSU3CHikrFHLJZiBAAA
+AIDenUk3yez35ux38UTXH5bePGsfmQCv+xsylD+8ZlH9SklTGQYgrEcQd518jZezsySdIqmAUAAA
+GBCbZXrQxbxf5eQ0P7rkupMSRAIcWnxof7z7k2SUXCCsHdfXP5bPr1wpSefVPhrfWVp+gjl3mied
+amanSppCSgAA9ElK0tNOetDM/aFuXsVLcs6IBejBOepQ/vCaxaveJnN/ZBiAcPJ8f+ayBdOWHe6/
+z/7qmpL2nNTJMdlZ5jRb0hmShpEcAACHtMfJPWxOD/l+8ncr51dvJRKg94Z0Jrc4VfC3Fq+9Q1Ie
+QwGETyoeP+Ix5MCujg8d+CXVmjetbGV1zLxTTe50SSdLqtaQryoBAGCImF5ynv5g0h+qx1Y+de/V
+jk1rgH5yQ/0EahbV/0HSJQwFEEqn1M2rerY/DzD7rueyOvaVVDrPn22y2U5utqSTJOUQLwAggrY6
+ucfN6SGX9P64/KYpG4kESK8hnz1xzv5s5ii5QAh55vX7GHJg44y6A79+TPEFAETMLif3qJz/hJM9
+vmzutCVEAgxwxxzqJzD1GysrYzGvnqEAwsd8nbNiQdU/BuNnTbh7XW5BU/dM52y2OTdb0mxJNZKy
+GAkAQIC0yunvMj0sT4/U3Vi5lA2jgAwruZJUs6h+jaRJDAcQtiOIvaVu7tRHh+rHT7mjMSfH92c6
+Z7NlOt7kZkiaLqmYwQEADA7bIOlxyT0lc49Xj6tYxnW1wNAKymYvf5J0PcMBhKzjutiQzqKunlPR
+JenZA78OnGuYm/nN+glJXzOdeTOcNNNkMyRVSIoxagCAfkhKetFkT8rpiayUe+KlBVM3v/431JER
+QMmVJN/pQc8ouUDomF8QwOZtS6V12v/rt6/869Nv35jXFmurTik205nNcE4zzDRL0kgGEgBwGJvl
+7HmZ94yc/0Ssu+uZpTfP2kcsACX3qBLOezjH/DZJhQwJECqh+Tv71IJjOiQtOfDrVTO+vbTMT+TU
+ONls8121nGokO0Fy+QwvAGSUrZKWONMSM2+J7xLPcZ9aIJxcUJ5IzcL638jpcoYECJUb6uZVfTtq
+L+q82kfju0rGVcjZTF+a6ZzNkLkZkiYw5AAQAaaX5fS85J43syXxZGzJ0pun7CAYIBqCck2unLPf
+mRwlFwgTZ5FcffFY7flJSSsP/PrlK/9+yh2NOdlJTZGXqvbM1ci5apMmSVYjKZc3BAAE7oOqSbIV
+MtU5z1akfK8uN+ZeemFOxU6yASi5A86yUg8qEfcleQwLEBK+l1GXGBzY6OqVe/re+8q/r6mty7bi
+7ArnUtPkaZrMVUuaeuAX5RcABvg0UtImma2Uc3UyrfBj3vL8dm/lklsnNxMPkHlckJ5MzeL6p2U6
+hWEBQmNh3byqBcRwGLXmTR+2eqJSNtVkVeaswslVSqqUNJ6AAKDHuiStc9JamdbIszUyb415bu2+
+ovja9R+e2ElEAF4RD9bTsd9LjpILhIVTESEcqeQ6f7m0Rvt/Pfj6/zTztpcKkjn5lbJUhTOvUmaV
+8lQlcxWSlREegAyTkGmLPG0w08uSNniytTJbY1722rqmSZtU63xiAhC+kut7v5OzLzIsQEgYJbev
+DtyC4oUDvw5ywh2NI7tTqUonVZlzFZJVSG6SpMmSikkPQPi4JpltkGcbZO5lOdso39so+Rvi5tZX
+jq/cdu/VLkVOANJyxAnWCbO5msX16yV3LEMDhOAA4vTQ8rlVF5LE4Kn8ev2IeNxNdk6TZZok2WQn
+TbL9Bbg8cMd1AJkgIWmz04FZWM82mHkbZbZB5m1wOd0v191Q00ZMAAZLsGZynTNbtOo3TprD0ADB
+Z75GksLgavh01S5JuyQ9/cb/NuHudbkFrclJzrdJcv5k+W6yeZok02Ttv/0Rm2AB6PWhXtI2OW2U
+abPJNnryNpmzjU7+hljKe/mllsqtLCUGQMk9As/sfnOOkguEgdMoQgiOAxuvrDjw601mfHtpmRJZ
+k/xUvFwxGyvTpAOzwJMkmyyplBSBjDuQN0laK9lWmbbI2VrJbfXNbXHmtuYVFKxbcl15OzkBCNcp
+asBcdY/FVmxp2CJx8gyEQKJubmWOnDOiCL/Tb9+Y1+Y6xialSd7+GeADJdjKJY2VNFEshwYiUWDj
+0trs/JaNS647KUFOACi5g6BmUf33JX2U4QGCL8uyy16cP3EvSUTf7Lu25He1tx1n8sfKufFOGm/S
+2P37KNhY7b8t0mhxv3NgoG2X0w6ZNu3/Z9ts8rZ7vjbLS23zXHzDsD2btj1We36SqABkongQn5Qz
+d785o+QCIdDldYyURMnNAAeWLK488Ouw3rgs2vlWbtJY52mSmcoPlGF2iQbefAbUJNlW57TFfG2V
+c1uc87f6pi3Oua2e77Yw+woAIS25nTH3UI5vLZwEAcEXkxspqZEk8Ipl189skrTkwK9DmvrNlcNd
+ysY6xUbLtzHOuVEyN0ay0Qeu9R6r/ZetjArqZxXQQy2S2y7ZTsntMvlb5Nw2Z9ri5LY6l9ra7bmt
+M0dXbecWOgCQHoG9tqpmUf3PJV3DEAEBP4g4vWv53Kr7SQIDZeZtq0clYzbSudRok8Y6aaScN0Zm
+Y5zTyP1LpjVa0nBJOSSGAbZH0g5Ju5y0y0w75GyHc9rl+9rlxWI7Tf72eFK79sW9XavnVHQRGQAM
+rsB+O26yXzs5Si4QeMZ9rTGglt48ZceBUlF3tN9b8626Qt/ccOdnDfN8G2HOhsvXcHk2TL433JwN
+96RhJg2X3DBJIyQrI+WMtU9Sk5yanKnJ9s+2bpe0y5zb5WQ7JdvupWyXn+PvHLlzxy6ucwUASm6f
+uazUH5WItUsun2ECAlxx5Si5CIy6G2raJLVJernHf6jWvBOGrR6edP5w8/1hlooPV8wfJl/DnVRs
+TsVyKnLmSkxW6qQi2385TbGcimQqIfmhOllQs0ytkloktTqnVplrMlnL/v/mmmTWJM81mW9NFvOa
+svxUkxLxptS+rr11tTXdhAgAUfx4CLDpixruMdlVDBMQ5KOIu69ubiV/T5HRjl+4rjTpp4p8Z8Ux
+p2KLqcj3UyVOrlSmolfLsqnApFLnLOacKzHfsuS8QslyJeVJKpBctmTFkmIRiMaXXLMkyaxFTilJ
+HZLrlGyvnDqduXaTtUjqklyrnL9v/z97e2XWabIOz4s1p6QWl1SrZ64l7sVa2dUdAHA4gd7Mw8y/
+R85x8gwE+y/qcYSATHegcKW9dB2/cF1pKqfVqSv31SXVKXN5sVgyV5Is5VzKeaWv/DfPXJ55qdye
+Pr4nFZvzXl+m28z8g3bu9cxrT0kHXVfqedbpye+QpKQft7hsryTFY641Ge9IFnaWdT614JgO3hkA
+AEruG+wrzfl9QXM3uywDwcZyZWBgy7MkNZEGAAA94wX5ya3/8MROSQ8yTECgjTr99o15xAAAAABK
+bg+Ys18yTECgub2x1vHEAAAAAEpuD3S72J/k1MxQAQFuuX5sCikAAACAktsDq+dUdMn0W4YKCHDJ
+dZpKCgAAAKDk9pDvxJJlINimEQEAAAAouT00umnLXyRtZ7iAgGImFwAAAJTcnnus9vykM93DcAEB
+ZaomBAAAAFBye8F37mcMFxBYwyu/Xj+CGAAAAEDJ7aEV8yqfllTPkAHBlJXFkmUAAABQcnvFnH7O
+kAFB/QvK5lMAAACg5PbuyTrvp5KMYQMCiM2nAAAAQMntneVzKtZIeophAwLYcY2SCwAAAEpuH06k
+7acMGxA8Js0gBQAAAFBye3sibalfSupk6IDAOabqzlXlxAAAAABKbi/ULajZI+m3DB0QPFm+O5UU
+AAAAQMntNf9uhg4IHjNKLgAAACi5vVa3d+pfJdvA8AGBQ8kFAAAAJbfXap0v837E8AFBYyefV/to
+nBwAAABAye2llFI/kOQzhECgFOwqHVtNDAAAAKDk9tKq+dPWS3qMIQSCxZx3GikAAACAktsHTsYG
+VEDQSi7X5QIAAICS2zdFfsGvJNfEMALB4cwouQAAAKDk9sVTC47pkOnHDCMQKNNm3rZ6FDEAAACA
+ktuXFxC370oyhhIIzl9LPyt5ETEAAACAktsHy26sWiWzvzOUQHD4cheTAgAAACi5fX8ZdzGUQHA4
+6W2qNY8kAAAAQMnti+bEryTtYDiBwBgxo2zVCcQAAAAASm4f1NXWdMvcDxlOIDjMj72NFAAAAEDJ
+7fsLuUuSz5ACASm5nnFdLgAAACi5fbVsfuVaSX9hSIGgtFydPvura0oIAgAAAJTcPvKd7mRIgcCI
+d+WkLiAGAAAAUHL7aGVT5Z8k18iwAsFgzi4jBQAAAFBy+6rW+U76JsMKBMYVNbV12cQAAAAASm4f
+Jbr8u+XUzNACgVBqJXGWLAMAAICS21f1t0xtNbMfMbRAQA4yzq4iBQAAAFBy+8Gc/01xOyEgGH8f
+5S5nyTIAAAAouf2wcm51o5P+xPACgTDMlcXfTgwAAACg5PbrlXmLGV4gIEwfJAQAAAAMBhflF1ez
+qP55SScwzMCQSySSKm/4dNUuogAAAMBA8qL84pzTQoYYCISseJZ7HzEAAACAktsPObmtv5BsA8MM
+DD1n9kmZOZIAAAAAJbePllx3UsLk7mCYgUCYVn1Hw1uIAQAAAJTcfkh12fck7WWogaHnfN1ICgAA
+AKDk9kP9LVNbZe57DDUQhJary2beuWoiQQAAAICS2w9xszskdTPcwNAfc1IpfZIYAAAAQMnth5cW
+VG12sp8x3EAQuI/OvmtLPjkAAACAktuvVxr7T0lJhhwYcsM621v/hRgAAABAye2H5XMq1ki6lyEH
+AuFT3E4IAAAAlNx+v1rvy5J8hh0YYk6zahbXX0YQAAAAoOT2Q92cihVOeoBhB4JQdN2XVWseQQAA
+AICS2w++531RkjH0wBAzzagpbbySIAAAAEDJ7YcVcypekPRnhh4IRNP9ArO5AAAAoOT2+7zavszQ
+A4FQU1PS+F5iAAAAACW3H+rmT31C0sMMPxAATl84r/bROEEAAACAkts/nxHX5gIBYBU7S8u5by4A
+AADSIqPvUzl9Uf2DJl3K2wAYcuuL/fzqpxYc00EUAAAA6I+M3vDFOf/zYjYXCIIJLa7jM8QAAACA
+fve8TA9g+qL6+026grcCMOS6Y7KZS+dNrScKAAAA9FXG37oj5VL/LsnnrQAMueyU6Q5iAAAAACW3
+H1bOrV5upvt4KwAB4NxF0xc2vIsgAAAAQMntVwiuVlKKJIChZ85fOPO2lwpIAgAAAJTcPlo+v3Kl
+nO4mCSAI3LF+du7nyAEAAACU3H5IevYfkrWTBDD0zHRT9R2NJ5AEAAAAKLl9VH/j1C1yWkgSQCBk
+O9//2ey7tuQTBQAAACi5fZTs1NckbScJIBCmdXa0fo0YAAAAQMnto/pbprY6ua+QBBAYN0xf1PAO
+YgAAAAAlt49y8lq+I2k1SQCB4Ez2v9MXrR1NFAAAAKDk9sGS605KyOnfSQIIjFGmxN0yc0QBAAAA
+Sm4f1M2p/KWT/kkSQGBcMn1R/SeIAQAAAJTcvnDOnPM/JcknDCAYzLnFM+5oPJckAAAAQMntg2Vz
+py2R9FOSAAIjy/f9+2YsbJhEFAAAAKDk9oFT1v+T1EISQGCM8GW/nnnbSwVEAQAAAEpuLy2fN2m7
+M/ffJAEEiNOsVFbuj9mICgAAAJTcPuiMua+LWwoBQfOumsUN7IIOAAAASm5vrZ5T0SWzz5AEEDi1
+NQtXvYcYAAAA8Hos9+uhmkX1j0g6nySAQOlynvfO5XMq/kIUAAAAkJjJ7bFUyv+EpC6SAAIlx3z/
+N9xaCAAAAJTcXlp107QG53Q7SQCBk+f7/gM1i+pPJgoAAABQcnuhKJX/JUnrSAIInGJJf6m+o/EE
+ogAAAKDkooeeWnBMh5luIAkgkEqd7/9pxp31U4kCAACAkoseWjG/6o8y/ZYkgEAa5af0l+kLG6YR
+BQAAACUXPU4tPkfSPoIAAukYc3pi+uKVZxAFAAAAJRc9UDd38gbJvkQSQFBZmZn3l5pFKy8mCwAA
+AEouemDk3q3fkNwSkgACq0DyHqhZ2PA+ogAAAMgcjgj6ruaO+lny9aykLNIAAstMunnFvKpvEAUA
+AAAlF0cruovq/0vSrSQBBL7r3lZdXvWZe692KbIAAACg5OIwptzRmJPj+y9IYjdXIPA91/4WS8av
+XnrzlB2EAQAAEE1ck9tPq+dUdHnmfVSSTxr/f3v3HmRlfed5/PP9ndOHBqRbEES5KDR0n+4+DWhA
+TQRnIIOTyzimaqZgMsmMiU5l2YraFzJsWVuTqZ5kt2YzmeVmspZuKlTFzSYLzu5MNE4mwcGMWGik
+lUufviIgykWE0Nz7cs7znT8aJ2QHg2J3cy7vV1UXlyouvrs95/nwPOc5QI4z++1sSXZ73eqOBcQA
+AABg5OI97G6q3CazxykB5IXpHuyF1JquL5ICAACAkYv30Gf2iOQHKAHkhVKZb0it7Vy9uHlLnBwA
+AACFg9fkDqHUmo6Py2wzXYG88nI2G93X8ZWaLlIAAADkP87kDqF0U/U/u/xRSgB55Y5YLOyoW9fR
+IHf+gQoAACDPcUA3xGZs2Fc69mT/dkkpagB595D4T5lY9EDnw9WHaAEAAJCfOJM7xPbfP7M38nCf
+pAFqAPnGPxHPWjq1rutztAAAAMhPnMkdJqk1XV+T+VcpAeTpg6PZ9z0+8OfpB1NHqAEAAJA/OJM7
+TCadPPg1Sa9QAshP7v55ZeIddes6GpZt9BhFAAAA8gNncodRan13raJou6TR1ADy2mtRCF9ur698
+iRQAAACM3KJWt67jP7rbY5QA8p7L9b8SsfCV1+or3yEHAAAAI7dopdZ2PCXZH1ICKAjHJf3Xs+WJ
+x/bfP7OXHAAAAIzconPLmn3XDlj/a5JmUAMoGG/J7OuTThz87vPNSzLkAAAAYOQWldq1XXeY/AVJ
+JdQACkqny7/a1pB8SmZODgAAAEZu0Uit6/iq3L5GCaAgbZeiv0g31vwTKQAAABi5RWHZRo+1Here
+LPliagCF+qDqr0r2NzVTqp7atNyyFAFQEJo9pMq6FsjsntIxp77esmLBAFEAMHIhSZq3unNqJqhF
+0mRqAAVtr1yrS8eM29CyYso5cgDIN9Xfar8uZO13pPAJc/+9d49dSjwxfkfTzB4KAWDk4t/UrWlf
+7BZ+JilODaDgHTPXtzMl0aMdD9UcJweAXLVso8c6DnfcErktNbOl7lp8qWOVeKRpO1cmD1IMACMX
+vya1rvMRuf6aEkDR6DPZj7KuJ9obK5/jJlUAcsGcNV0VUdBScy11+d2Srr3cr4nJq3c1VndSDwAj
+F7/O3VLruv9e8nuJARTbA6+1RqYnElHJk1zyB2Ak1axpuzGE+BK5L5Z0t67g7Q2DRQt2N9S0UBMA
+Ixf/zoX3z90uaRY1gGLk5yTbGDw8sbupchs9AAy11LfTNygTX2zui122WFLyw/6eIYTFu+srf05d
+AIxcXPrJZ33nPEXaJmk0NYCitkduPzDpB61NVe3kAHAlar7VdnMsE+6StMhlvyWpZqj/jMj0e+0N
+yWepDYCRi/ceumu6vijzDZQAcMEOmf9AKvlhumHWAXIAuKRmD3XXdta5210yLZR0l6Rpw/3Huvkf
+tTVUb+QTAICRi8sN3bUyb6AEgIuPJSW9aLJNIRY9vevh6n0kAYrX7PXdZQmPbguRfVTmd7p0p97H
+jaKGfuTaA20NVfzjPABGLn6zZRs91nao62lJn6IGgPew1+XPuIenJ588+PzzzUsyJAEK15w1XRWR
++SKXzzeFhZLfKilc7b+Xm9W3NVQ9ymcIACMXl5VanZ6gEH9Z0mxqALiMtyU9E7meGYiFf95TX3mK
+JED+Sj7aMSU+EJuvWPYOuX1U0m2SynLx7+qu/9zWlORtEAEwcvH+zF3bkczKXtJVuPwIQN7KSrZD
+0ubItfn8tSVb998/s5csQG4PWrNovpvmS5ov6cb8+S/w/5JurP4qn0kAjFy8bzXrOj8dXD+SFKMG
+gCtwXtJWyZ9TZFtKx55+rWXFggGyAAzaodm4ti7dVNXIZxcAIxcfSGpdx3+S2zcoAWAIDEi2yxW9
+KGlrfCD+812rZh8lCzB05j++veT82fIqhWxtcEtdGLS1kioK8OjxO+mG5Jf4rANg5OIDq1vb8V2X
+3U8JAMPw8N8t+TZzf8ndWso0Zve2ldPP0wW4vMH3oo3PidznmGmepDmSqiTFiyTBD9ONyT/mKwFA
+roqTIHeNGn1mRe/5cdMk3U0NAEPLKyVVutl9MumUzmXq1nZ1uPtrMr0WQng1cS7saHlk1klaoSg1
+e5h7XefN2UhJudXIVS1TrUxzlFG5y2XFeqrAfCxfIABy+mGKBLlt9vruslFR9IKkudQAMNJLWNI+
+mafloU3yNou8zUdlO9IPps6QB4VgxoZ9pWNP9yfdPWlu1ZJqJCUlVUsaTaFL2pJuTH6cDAAYubhi
+c9d3T8tG0TZJ06gBIEfG7xuS2t09LQt7ZL4niqLX50ytfnPTcsuSCLkk1ZxOZMeFGbG4VcitQqZZ
+5qr2wSE7Qznw3rN55pV0Y/J2MgBg5OJDqVvbMddlLyhH3zMPAC7ol7RPpj2KbI/M95hsv0XZN2JW
++uaOppk9JMJwqP5W+3WxTKiwwRs9zfLBbyvkqpBpOkN2SLWlG5MpMgBg5GIIhm7X3S7/saQSagDI
+U6clHZB0wOQH5OFNBT+QjeywuR029R9Or0z9kky42OLmLfETZVMmZ2PRzR7ZFAua6rKbJLvJPKpw
+s1lylVNqpPiBdGP1zXQAwMjFkEit6/yCXBv43AEoYH1yHZHpoKS3JR2U9I5cxxV03NyOR8GOmYfj
+sf4zx3etmneWZHn8vNacTmTLw5RYCNMU2XQ3n2Ju02XRNJNNdWm6pBvEe8fnkuPpxuREMgBg5GLo
+DgjWdjZJWk0JAJAk9Uo6/m8fZsfdo2NmOi6349LgMDbZL+WZM1ZiZzzW33Pd0eOnn29ekiHf0Frc
+vCX+zqTrJ0YZmxgUJkk2Wa6J5proQRPN7Xr36HqZTZQ0UdL1HI/knb50Y7KUDAAYuRjiodvxdcn+
+ghIA8KEH8hlJpySdlOmMXGdMdsbdTyhc9GPTSclPmdSXjex0CN4bFJ0fyOh0ImEDHuvviTw2kO93
+np6xYV9p4nj/NaNiVpaRXSvza2KucTK/xs3KTSpz93EyXSOpzFwTfXCsTrrwMYEvq8JXOvp0omXF
+ggFKAGDkYoiHbue3JX2ZEgCQa/ycFPrkfkqmAclOSlG/mZ31SGfNrN/lWblOSZKb95rC+Qu/+IwU
+XXo8uPoUwrlf/Tga/6vvh7H8PeQ7AAAOXUlEQVQyJQaf3b1Eka656Nm+3GRh8Lfw0WYqlSR3jZY0
+TtI1ko2XfJykOJ8/XE6JJ8ZzIzkAjFwMwzGUW2pd13clfZEYAABgpMQjTdu5MnmQEgByEbfTz2dm
+Xjr69H+Q6cfEAAAAI8WDX0MFAIxcDIuWFQsGSkvHLZf7z6kBAABGZOQaIxcAIxfDOnSnnCsdU/Zp
+yZ6nBgAAGG4Zj42lAgBGLoZ96MYGzt9j0r9QAwAADCdzZ+QCYORi+O1aNe9sbwi/b9JL1AAAAMO4
+crlcGQAjFyNjT33lqVG98U9KepkaAABgeEZuYOQCYORi5LQ8MutkaW/8EzL9ghoAAGDINy6XKwNg
+5OJqDN1Q0vdJSa9QAwAADO3KFWdyATByMfJ2f3nuidhA7xJJz1EDAAAMGc7kAmDk4mrZtWre2dLR
+4+6V9BNqAACAITqE5EwuAEYurp6WFVPOqSfzGZk9RQ0AAPDhcSYXACMXV1m6OdVfe2PlZ02+gRoA
+AOBD4kwuAEYurr5Nyy3b2pD8M5evpwYAALhyxplcAIxc5MpzknlbQ7JRbl8nBgAAuDI+jgYAGLnI
+qaGbbqr6Szd7QFKGIAAA4IMdS4gzuQAYucg9bQ1VGxSFP5D8HDUAAMD75oxcAIxc5Kj0ysqnFdkS
+Se9QAwAAvM+Ry42nADBykctDN/kLC+FjknVTAwAAXJYxcgEwcpHjWusrX1fJwG9J2k4NAABwGVyu
+DICRi9yXfjB15Gx54i4z+z41AADAb1C6uHlLnAwAGLnIefvvn9nbWl/5p5I/IimiCAAAuJTTpTdx
+NhcAIxd5wszTjdXfcPlnufMyAAC4lEwiw+tyATBykV/aGqs3ycNCSW9SAwAAXKzPI87kAmDkIv+k
+m6p2xCN9zOSvUgMAALzLQpwzuQAYuchPO1cmD54pH7VQpu9QAwAASJJxJhcAIxf5bP/9M3vTDckv
+SfqCpPMUAQCg2Fcu75ULgJGLApBuTH4v8rBI0n5qAABQzCPXGbkAGLkoDO1Nla9m49ECuf+UGgAA
+FOvGDVyuDICRi8LR8VDN8dqpyU+b66/E++kCAFCMK5czuQAYuSgsm5ZbtrUp2Wxu90p6hyIAABTT
+yOU1uQAYuShQrU1VP44NxOok/YQaAAAUCXcuVwbAyEXh2rVq9tF0Q9WnzbxRUj9FAAAodMbIBcDI
+RaE/15m3NlSvk7RI0usEAQCgoHG5MgBGLopDujH5Sl8IHzGz71MDAIBCxeXKABi5KCJ76itPtTZU
+/YmZ7pPUQxEAAAqMaRwRADByUXRaG5JPqiRTI/OnqQEAQAFxXpMLgJGLIpV+MHUk3VB9r6QvSDpN
+EQAACgIjFwAjF0U+dhuT34vi2TmStlADAIC8x42nADBygfaHat9I91QtlXuT5OcoAgBAnnJGLgBG
+LjCo2aJ0U/XaWEx1kn5CEAAA8pBxuTIARi7wa3Y9XL0v3Zj8lMuXSzpKEQAA8gpncgEwcoFLaWus
+3lTiiaTL10uKKAIAQF4oXdy8JU4GALnGSIBcUru68y4LelxSDTUAAMjxldsbv7blkVknKQEgl3Am
+FzmlbWXyhbPliY+4qVnSeYoAAJC7MokMlywDYOQCl7P//pm9bQ3Jv4pHqpTrSUlOFQAAck+fR9x8
+CgAjF3i/dq5MHkw3Je8zjz4uaRdFAADIsQPJkjgjFwAjF/igWptqnp/Uc2i+zFZIOkYRAAByRCbi
+cmUAjFzgSjzfvCSTbqh6IhFCrVxPSMpSBQCAq8x4GyEAjFzgQ3mtvvKddFNyRYipzmSbxOt1AQC4
+ihvXuVwZACMXGAq7H052tDZWLY9CuNOkf6EIAABX5VCSM7kAGLnAUGqvr3yptTH525Hb3TLtpggA
+ACPInJELgJELDMvYbaraPOnEoY9cuDnVYYoAADASuFwZACMXGDbv3pyqL4SZF8buIaoAADCcGzcw
+cgEwcoHhtqe+su/C2K1g7AIAMIy4XBkAIxdg7AIAUDBcnMkFwMgFrtbYLYvGzJZ7k3jNLgAAQ8M0
+jggAGLnAVbJt5fTz6abqterJzJD0BUltVAEA4EOtXM7kAmDkAldbujnVn25Mfi/dUzVHUbhX0otU
+AQDgSnB3ZQC5x0gASHPWtc+PotAg0+ckxSgCAMD78nK6MflRMgDIJZzJBSTtbqhpSTcl7wsx1Un6
+n5KfowoAAJdh9iYRAOTcQxMJgH9v/n97vbx3dPaP5N4oqYYiAABczLcpiv11emXl07QAwMgF8kmz
+h7ry7k+5+UOSfldc/QAAKOJlK9Ozkv/3dEP1FnIAYOQCea5uffcsj/xLkn9J0gSKAACKRL9c/8c9
+fKNtZWWaHAAYuUCBmfvNnWOz8dHLFPwBuRbx/xEAoEAdl/wxU+JbrY0Vb5MDACMXKAI169oqY1Hs
+8y59UaabKQIAKAB7zXx96O/7zq5V886SAwAjFyhCyzZ6rP1Q96dc/oCkeySVUAUAkEciST+V/LF0
+T/IZNVtEEgCMXACSpLnf3HN9Np75nMw+J+k2igAActgxyTdYiD3eWl/5OjkAMHIB/Eap1XtmK5b5
+Y7l9VlItRQAAucG3mdljZ8oSm/bfP7OXHgAYuQA+sNrV3alg0TI3fV7SbIoAAEb4sO+E3DdJ9li6
+qWoHPQAwcgEMDXdLre28003Lze0z3LAKADCMspL+0UzfHVV6+pmWFQsGSAKAkQtgWP3qDK/dI/l8
+igAAhuAIr8si/SBbkt3Q/lDtGwQBwMgFcFVUr2mfEQ/2GcnucddiSXGqAADep1/K9ZSCnkzXV70o
+MycJAEYugJxRt3bvZKn/HrfwSbkvlXQtVQAA/58zcv0/Bf/fk04c3vx885IMSQAwcgHkvGUbPdZx
+uOOWyG2pZL8v6WOSAmUAoChlzbTFXU+qJPN/0w+mzpAEABi5QF67dX33pH73uxX5J2X6XUmTqQIA
+BS0jaYvMnsrGsn/X8VDNcZIAACMXKFhz1nRVREFLzbXU5R+XdB1VACDvZSW9ZOab5IkftjZWvE0S
+AGDkAoxe+e9ImkAVAMgLvZI2S9pU2hv/h5ZHZp0kCQAwcgFcZNlGj7W/1XmrQlgi+WKX7pI0jjIA
+kDNOS3rW5X8XH+h7dteqeWdJAgCMXAAfYPRedBOrRTLdJVc5ZQBgRO2T62fy8ExfXD/dU1/ZRxIA
+YOQCGAKp5nRC4+O3e6S7LGih3O6UfDxlAGBIDUh6waVn4/JndjVWd5IEABi5AEbInDVdFZH5IrkW
+yrRIUg2PFwDwgR0z2RaXP1PiiR/taJrZQxIAYOQCyAHJRzumxLJaaB4ujF6fJylOGQD4NRlJv5C0
+2WXPtvVUvqJmi8gCAIxcADlu7jd3js0mSm+V+0LJFkm2kEucARSpvXJtdvPNCR/1M87WAgAjF0AB
+WLbRY+kje+YGz94h1+0uu12DlzgH6gAoMG9J2mzy57IePdfeVHuYJADAyAVQBFLfTl+jTPwWk8/3
+yObLNF9SLWUA5JkzZnrJ3TcH882766tflZmTBQAYuQAw+NreSLfJ7XaT7pBpAW9fBCDHHHLX1mD2
+YtZta93U2Ts3LbcsWQCAkQsAl9fsoa68O+nmt8l0u6Tb5JojaTRxAIwAl9Rm8q0yezEE37rr4ep9
+ZAEARi4ADJllGz3WcbD75sgtZRbN98HLnG+XdD11AHxIGcl2uqIXJW3NZGxL158nj5EFABi5ADDi
+qte0zzCFW4LpVpnfKrdbJE2nDIDf4E1J2yV/Wa6tfbHY9j31lX1kAQBGLgDkpKq/7ZwYi9ktIUQf
+uTB6b5FUKd7DFyhGhyTbLnlLZNpe0h/bvmvV7KNkAQBGLgDktfmPby/pP1c2/d3LnWVW6/KUpKSk
+GIWAgtAjKe3yFpO1BLetu5uq9pIFAMDIBVBE4/fQmP7ekzWRQp3cU5LVyVUr083UAXLaUclek0Xb
+TdaiTGx761dmv0kWAAAjFwAuYfb67rISqTZkozqZaiVVa/CS5xnismdgJA9JTkieNnna3XYreNvA
+gO3mxlAAAEYuAAyBdy97zkgVIajCPUoFs1p3VUiayeMncMX6JL0uV4vM04pibcE8vbuxcp/MnDwA
+AEYuAIyw2eu7y0oz2SqPhSq5JyVVmbzKZbMllVEIkCSdMXmXu6VlnjYPrRll0x2N1W8wZgEAjFwA
+yBNz/seu8dne0VPc/MYQVCFXhUkVLlVIXi1pLJVQQPolvWWmvZF7m1lIR5H2xqW9u09W7lezRSQC
+ADByAaBQudu8NV1TMjHNNGmmu2ZKmin3mZLNkGmKpBJCIccclbRP0l6X9plrn+R7s/K9HSerDzBk
+AQCMXADAe47gmrXtNwSLTXPzKVK4yVxTXT41SDe5NFWDH6XEwhDJSDoi6Q1Jb5n0lmQHXNH+yKK9
+Jf0D+3atmneWTAAARi4AYNjM/eae67PxaIrcpptlp7nCjTK/QbLJUjRJshsknyzZGGoVtbMXhuvb
+Lh2S7IjL3wrSW7LozWDxA8kbZh/etNyypAIAMHIBAHkwhneOjUaNuUGemRzJJsnDDSafLGmS7MIQ
+dl0nacKFjwTVcl6PTEflOibZMTcdM/ejZn5EbkeiSIcij95ORP0HOQMLAGDkAgAYxWHsBC/JTrBs
+NF6KTXD5BDMb7/IJck1QsAlynyBZueTlGryR1lhxV+kPIiupR9KJd781WY+7n5CsR8FPmLxHbifc
+/GjI+rGM+bExY84da1mxYIB8AAAwcgEAI2D2+u6ykuzA2OCJsSE2UB7JyszDWMnHulm5u4+TK2Fm
+5YNPQNG17mYyjTNZ3OWjzVQqV8JlYyWPXTSeR1368mtP6MrvWN0v2SXOdvpJSZGkPsnOXfi5HjO5
+S33mgz/n8h65XLIek5938/OuMPh9+Xn3cCIoOh8pdj4u74kSA+f6solze+orT/HVAgDA8PlXnnC0
+/a/EmvkAAAAldEVYdGRhdGU6Y3JlYXRlADIwMTctMDgtMzBUMTM6NTQ6MDkrMDA6MDCIeuPdAAAA
+JXRFWHRkYXRlOm1vZGlmeQAyMDE3LTA4LTMwVDEzOjU0OjA5KzAwOjAw+SdbYQAAAABJRU5ErkJg
+gg==
+"
+ id="image1275"
+ x="220.0013"
+ y="21.449341"
+ style="stroke-width:10.7374" />
+ <image
+ width="30.300915"
+ height="29.702868"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAATAAAAEqCAYAAACStgwOAAAOv3pUWHRSYXcgcHJvZmlsZSB0eXBl
+IGV4aWYAAHjarZprduM6DoT/cxWzBL4JLofPc2YHs/z5QNmOncTd6dvXTixbligSKFQVlJj1v/9u
+8x8eMaVsYiqSa86WR6yx+sYbsdejnVdn43k9j3B/5173G0683nq24TpSH5Kvrbvvv51w3zo9Lz0N
+JOP2RX/9osZr6+XTQP42M52Rvp+3geptoOCvL9xtgHYty+Yq5XkJfV3b2/lXGPg1+hLlddpfPhei
+NxPXCd6v4ILl1Yd4TSDorzOh8abyyhcc6EI+eyyvKdxnQkC+i5N9mpX5nBX7Jiu7PWL0kpSQryMM
+O16DmR/bb/e79Gn/bUBzQvyMk/GAw8t+qe7Lcu6/e08xe69rdS1mQppvi7ov8bzjwE7Iwzkt8yz8
+Jt6X86w8xYDeQcqnHbbzHK46T1q2i2665rZbZzvcYIrRL1/Yej9IlO6TUHz1I1hDnqI+3faF7M0g
+5G+Q3sBe/5iLO9et53LDCReejiO9YzDHGd7oy7/xfDvQ3gp5504wr3pkXl6Lgmlo5vSVo0iI23cc
+pRPg+/PzQ/MayGA6YRYW2Gy/hujJ3bClOAon0YEDE9ur1lyZtwEIEddOTMYFMmCzC8llZ4v3xTni
+KOSnMZBobXRS4FLyk1n6GEImOeL12pxT3DnWJ3/thrNIRKKYCqmhvMhVhNjAT4kChloKCbJLOZUk
+qaaWQ4455ZxLVvJrJZRYUsmlFCm1NAkSJUmWImKkSqu+Bsgx1VxLlVpra1y0MXLj7MYBrXXfQ489
+9dxLl157G8BnxJFGHmWIGXW06WeY8MTMs0yZdbblFlBacaWVV1my6mobqO2w404777Jl190eWXPm
+SuuX58+z5u5Z8ydTemB5ZI1TS7kP4ZROkuaMjPnoyHjRDABorzmz4mL0RlOnObPVUxXJM8ukyZlO
+M0YG43I+bffI3UfmXvJmYvyrvPl75oym7t/InNHUvcnc17x9k7WpFDxsMCdDWoYaVBsoPw5qXvhB
+k75sK8fDoclbCdMK81Xp40tzf/O32x8NVCus0lrZzLfEGdbZwQQru9jB3mHmXi5v6Y4DJfcEDMbi
+M6Gpw6fsepI9hoRA5EpfWQB9nr6P2LPvhVzM0UYzLUK6dQRfd/S+E9++9GrweRrEsqS8V85tZtlt
+ZQ3KXC3NVRkEePpWxx6tGAlrxFJYwe6BLG+/Nho9spthp+7crLnblHWa6E3xFAlZ7LP1CcprmlBS
+tcnETQLW1rqJycWkNuKfbM2fnDAI7ozUa2+ZSDw+MolmstiwfNPA3MKCZbkHhrys3feK3pWg36SZ
++gb1lIaTXJRv+3RluGS2yyWlbWeIheqYvZHQbQvZqn2V6NbIfawmJ4rqZTaOpablU+enzZBX9SkZ
+H1aOxH10gp5ynxrnRu647EJHmX8hwzOMR4Ik7+wDR/ZdYPwV7KrbtCnSBmDxnZoeRewqEllIWjNa
+2QnmqE/ZOckJEyp4LSHzpqb+eHsbKBN8YAYAidX++Ejg2QEpo1UU8xBsRNmrt142NKgTLHNv76zp
+9VTNapM4AbFox0et4SZDhT6vzzuSlLhHqjOFEfJ2k7PAKxfbJshso9zTs5QcoKrOdKTmkfLqcXb4
+LkVZidDhCoA73NspmsXJlE1oIHt7Lc/5Ujf3tFARMBy9AZl7pAepkjWg4vnIDrMU813dROrXbsoz
+ogGKa5D4u6356YEBKdmIzF6rtjLPR3YfXtJd1NqW1p7q4KMKWnlXOAjc50I0tzcMy1qhqefUlOfU
+jBl6Q/OId7M7h7QorV6WGxv4NDOXl75QGIoGDRmOA9XhIgWFMlG71su7AnuqL3MrsNqyMJXdZh8W
+mmOBzCr00VsV6T6noZKvJjD1zhsSP9cueXbI2rtqmJjTCAiRGb7buryHrFFHyDBDjAIcYusJAW1Q
+JZIMsKXF47txcABQYvJmIn/h90n7bVLN5zTeMntP9JekPpPbldZLKMyzUnyb17hizBQcdp/WNwft
+P0YNqYd7wrbmyzB8OQmrIxeKJQqnFFwAtpZusW9JBcmSNCma2mtMACFS2rs2uj9Ho9I95W3CIE8O
+88bIzCxQj7mTl5mH5hVXAFEMyLClQp1idaLaz04HlDUQnRq1TQbIpnj3ZBiNGsYExOi6h/SCIGtM
+BhIrC2Z1RQ7pLKbV90U/EagWaMOUEHSRg5VsBhbWAlOkPe0Sane7ouwQR0eNwF6ecJ8vNe3mqAKi
+wjJ6mMnQKHoYCf9HSXSU1qXWUZbSi3p1y0E9tulQ/65crTaRkZOX3fmOipHasYDGYx64/sLZ+U23
+thbJKj5c4LUdu3BsFSq25Bm6bZ5+yzdiVGghCK42jn0GLovXIDVbIw4feYkd3wjcLzXPLb+XYfN7
+fVZBflFoPn7I8923mP1On1/U+Uxobik0l6WRkEYa2AGfp1wctsjcKaNVlR3SJiBP8Mx+jLjOrQbA
+CZUoaduZFnqzkoINq4RztVB4DOCIXBDLSCrrprbxu/P0tFLx1JYBmFMO0EihSg6KMskoqsIAMK1K
+bpuflaLVAOSp5p+G+wWfTzHLcb8F4xR8oNFd2/s598XLHg4NPe1Q0SjiEJZweWah2ChgV66xCzzV
+xSVSLTDnMpQgHjJ7dftrdlKCTeibDnGsBDhp+hUIQ2kXCU2pyYWUCLsBftzlAbcB3e0TuNkst7+C
+u8taKnc7uokhJtCECPIAnzQ1QZldi9SrUQadRSAEDzPPSf8BboAMpACBzNhCqfQaWhcaymN4BMZF
+zw2SGpWyuhqD8nMj89m/mJ8amIbrk+Vo0HsITm8uwEiBWOcKVYZpjuqQSToeHB4H+V3huobnRxyW
+atsu64KYEgivriUaKw8wcwPCFc9QxKSw18D95FI/0Jspo/Sgygu94L0UtzbxXfsZihcSzQcUU3Ib
++3ox5bsG5y2Dmt9R6HsGvcbeDvdnLw/5dMElPZ66hgT9C73mMCgd7DlBH6x+7ASZ0CF1jy/DZ69F
+xwRnqf1Yc9ATD1vbJNiCeODtG7VfwRarZu30xThFlkdrlYljXBTDtNOTNRqwi15ds0Vmhl7nO3pd
+KVtKAPW78NcxJUQItjYNHNtSAk1wX46r2krTD425fJY74/hFa9k9DTBXhbMZjN7+RF8ZTzHJ1OGq
+EUmuE0dncnDRXaWddzR1tmiHseDQCrrW9nB8p+/PamjtiAqizpdFCbXsEjLeAioFh1QtlcrV3TEb
+on4Xp0MREKlN4pMzNJTQR86pemwY1Y0XCu8NjKMgGHCDxnWAwtwgGjdNw1IfjN0QBs09NHosWjBK
+iG7WgdPqChaBWOLbzrgQGjp4vTefLlpiak4t2g56iwT8W9LIVMcINbC+Q3Uu+a6aB3CV6jrVagKq
+SGc2hL4h8MSZdr1pMpsHk5Em3Wp1UaHwnteusHcKjGYgQq0BfK7GgsgadZkxUgBNE+3p3MSTUpCg
+CqDeiYZzJyH8LZKiPSxumQmGoJVFM8oc9zDxVjJRto8/AcwdLw+0bG2CtlnaZ81FAbUyILmr7bp2
+6J19KIggLAKDBZy5qC9gXg6VmFvjjT67ydJYyuoealE7XSj+tQibo+Gi/LHlKaEG9mANa6MmD4Hq
+OAu9cKgrbAwhU4aPGh4TwbhXFVZhqDnJtFIWgEY6Y76PaFaSsbWMEcxUP7G4CbBnPNC6VBIcPVRy
+jXTQh+M4+OO7O/4ahDxAlHRHpFbDZ/u97KCJ2PEaHFIJc3zIRV1jjbY4bDmFlKBRRVFBtwHZDU9f
+SDEY6MsjTegcDYODSbE3pBD5jIIy6l+EuD4VXLA5qgQp2MIqo7YiUZO91FKKmQPPy8gFJh+CakSs
+chzgqvt1StSRwFBtVULaCwUqvklK1990kFdEEfiYhnxGX6cDRwPd3OoURBpoTlCqm7em+5e8xNa8
+w9sTP12Ie0HYA3J3wOlA16Cdc6r6oo5+VBdoKejnODD6AsGiIG3o3UBLnPcIfQWc8syRZQTn8jZQ
+x5GIgN5AUAFnRObc4WblukaTT7IP2c0H2Tn6i4wkQT/KdTCd+aC6L/iLd/yl+itpvJTR/FNppEoO
+ijA6eu91mDuKdhHBSuh9waZ5RNiQE/KIEkLluZVIADSN1QmNkbpTOKbRiE8AonciOv0uPlB7Bp+P
+PeMQrGcDnxKP+1I04fPgsun9wACjsdg9ZxNscm7xLjgbZXMLjsUQqttKtSyM3dB71UAVpQRx1Sl1
+UzHp3MRRbdeoKzfrjVAE1vzprcIJc5+u07KuF4GkpGnRrxb53LpY27WckF5YkB3Xx/dj6x1zbC7a
+j1uAeOneKiWjt3WrVWgpBKnQzcDnFlOqttuo6VW2KZSUVeTDNzW2NgwMGHzVu6Oi45fejlnihNP8
+XGiA7C8qoYBuAkOHnakH6IjxZykGN6PTobC5OoTrAhYHosYzi1qajLFbR2RS/CQynSLKtHDLZ51R
+L9hTt7pmnnlhQiL+OS3CykUFU1mFlOexP2MCRHQ84pVI88hkmpSHoJlwv96VxFrqXzHQVG0fGtJ5
+yTSu6ztjYH546+NJyVgUdYf2qtKoqBQVDDO1W0R4MD9be83r/se14/uRi65MnffEPwNwmnnXC7oG
+UrplNdSKFrkl8HQeE+evZI+pbAl/1Y8cD9CAnGG9jgEAkkS6DuBjwiNw9L+Q9xoYEqqNYNDcsiAX
+KjNGVUNqapykYUYo6pdCsda4v7qv/rE176vnz4rH6JuU/bHNypF4Ixoyep4cM2j2MNUIc9mOkUB2
+wKqETJQ3oXZDL68EkKuBlCfl0fPwrkd6F0KHGNMQBb2LRNGopxjAHJLOOFIuB2WFsWeM5aF13bSm
+NXb+cQHjSzf2DwNl/pKGHoE0T2E7UXwO7KpBFexX8dF/KEhI/zT0KtpIW/TITz+A5UHeVOSJ9ROb
+6uncafKBMBwlW++6qYWi4fQlRmSSbkpMhJjpWQIt9yPCWa09JrOhrOn8L8mwl1d43y+bf+UvB7eB
+YnH6PwwE8Za0Qn3QcVm4cKB1qmpJ6iqemvooqXlVym3K5jbnv/67n/ntgQj5rNTS/wEy4kxsAPkh
+XQAAAYVpQ0NQSUNDIHByb2ZpbGUAAHicfZE9SMNAHMVfW8UPWhzsUMQhQ3WyICpFcNEqFKFCqBVa
+dTC59AuaNCQpLo6Ca8HBj8Wqg4uzrg6ugiD4AeLm5qToIiX+Lym0iPHguB/v7j3u3gH+RoWpZtc4
+oGqWkU4mhGxuVeh5RR8iCGEGcYmZ+pwopuA5vu7h4+tdjGd5n/tzhJS8yQCfQDzLdMMi3iCOb1o6
+533iMCtJCvE58ZhBFyR+5Lrs8hvnosN+nhk2Mul54jCxUOxguYNZyVCJp4ijiqpRvj/rssJ5i7Na
+qbHWPfkLg3ltZZnrNIeRxCKWIEKAjBrKqMBCjFaNFBNp2k94+Iccv0gumVxlMHIsoAoVkuMH/4Pf
+3ZqFyQk3KZgAul9s+2ME6NkFmnXb/j627eYJEHgGrrS2v9oApj9Jr7e16BEwsA1cXLc1eQ+43AEi
+T7pkSI4UoOkvFID3M/qmHDB4C/Svub219nH6AGSoq9QNcHAIjBYpe93j3b2dvf17ptXfD/nLct0c
+jlvtAAANGmlUWHRYTUw6Y29tLmFkb2JlLnhtcAAAAAAAPD94cGFja2V0IGJlZ2luPSLvu78iIGlk
+PSJXNU0wTXBDZWhpSHpyZVN6TlRjemtjOWQiPz4KPHg6eG1wbWV0YSB4bWxuczp4PSJhZG9iZTpu
+czptZXRhLyIgeDp4bXB0az0iWE1QIENvcmUgNC40LjAtRXhpdjIiPgogPHJkZjpSREYgeG1sbnM6
+cmRmPSJodHRwOi8vd3d3LnczLm9yZy8xOTk5LzAyLzIyLXJkZi1zeW50YXgtbnMjIj4KICA8cmRm
+OkRlc2NyaXB0aW9uIHJkZjphYm91dD0iIgogICAgeG1sbnM6eG1wTU09Imh0dHA6Ly9ucy5hZG9i
+ZS5jb20veGFwLzEuMC9tbS8iCiAgICB4bWxuczpzdEV2dD0iaHR0cDovL25zLmFkb2JlLmNvbS94
+YXAvMS4wL3NUeXBlL1Jlc291cmNlRXZlbnQjIgogICAgeG1sbnM6ZGM9Imh0dHA6Ly9wdXJsLm9y
+Zy9kYy9lbGVtZW50cy8xLjEvIgogICAgeG1sbnM6R0lNUD0iaHR0cDovL3d3dy5naW1wLm9yZy94
+bXAvIgogICAgeG1sbnM6dGlmZj0iaHR0cDovL25zLmFkb2JlLmNvbS90aWZmLzEuMC8iCiAgICB4
+bWxuczp4bXA9Imh0dHA6Ly9ucy5hZG9iZS5jb20veGFwLzEuMC8iCiAgIHhtcE1NOkRvY3VtZW50
+SUQ9ImdpbXA6ZG9jaWQ6Z2ltcDozYmZlYzk3MS01ODI1LTRlMTEtODk3YS00ODBjOGUzY2ZlODci
+CiAgIHhtcE1NOkluc3RhbmNlSUQ9InhtcC5paWQ6Y2E4N2VhMzAtNTY2NC00ZDU4LThmZGItODZi
+NWI3YmJiMWI4IgogICB4bXBNTTpPcmlnaW5hbERvY3VtZW50SUQ9InhtcC5kaWQ6YWYxNmU4Mjct
+MDJjYS00YjQ3LTkxYzEtYmJiOGY4NmMyYTI4IgogICBkYzpGb3JtYXQ9ImltYWdlL3BuZyIKICAg
+R0lNUDpBUEk9IjIuMCIKICAgR0lNUDpQbGF0Zm9ybT0iTGludXgiCiAgIEdJTVA6VGltZVN0YW1w
+PSIxNjMyNDkyMDU0NzQwNTU2IgogICBHSU1QOlZlcnNpb249IjIuMTAuMjQiCiAgIHRpZmY6T3Jp
+ZW50YXRpb249IjEiCiAgIHhtcDpDcmVhdG9yVG9vbD0iR0lNUCAyLjEwIj4KICAgPHhtcE1NOkhp
+c3Rvcnk+CiAgICA8cmRmOlNlcT4KICAgICA8cmRmOmxpCiAgICAgIHN0RXZ0OmFjdGlvbj0ic2F2
+ZWQiCiAgICAgIHN0RXZ0OmNoYW5nZWQ9Ii8iCiAgICAgIHN0RXZ0Omluc3RhbmNlSUQ9InhtcC5p
+aWQ6Y2MzMmRmN2ItYjQ2YS00NTM0LTlhYjgtMmRhOTRjOTYwY2NmIgogICAgICBzdEV2dDpzb2Z0
+d2FyZUFnZW50PSJHaW1wIDIuMTAgKExpbnV4KSIKICAgICAgc3RFdnQ6d2hlbj0iMjAyMS0wOS0y
+NFQxNjowMDo1NCswMjowMCIvPgogICAgPC9yZGY6U2VxPgogICA8L3htcE1NOkhpc3Rvcnk+CiAg
+PC9yZGY6RGVzY3JpcHRpb24+CiA8L3JkZjpSREY+CjwveDp4bXBtZXRhPgogICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+IAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg
+ICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgCjw/eHBhY2tldCBlbmQ9Inci
+Pz55r0WaAAAABmJLR0QAAAAAAAD5Q7t/AAAACXBIWXMAAAsTAAALEwEAmpwYAAAAB3RJTUUH5QkY
+DgA218SFAwAAIABJREFUeNrtndl3Glfert8qqjQgNFvzBAjZElAMshPHAo1MstP/57k5w+rO3N9a
+5+ac7tjxEOc76+vEkkAzAqHBEZoioOpcFGC7MycequB9bnKRrJWlAh5efnvvdwuapmkghBATIvIR
+EEIoMEIIocAIIYQCI4RQYIQQQoERQggFRgghFBghhAIjhBAKjBBCKDBCCAVGCCEUGCGEUGCEEEKB
+EUIoMEIIocDeJMVika80IRSY+SiVSvjuu++Qy+VQKpX4ihNSQ0i1/gcWCgX8x3/8HW2tbbgzMwO7
+3Q6r1cpXnhAKzPioqor19Q3867/+hWfPniEWjyMQCKCrqwsWi4XvAEIoMOP/jNza3MT+fhZbW1tY
+XFzE7NwcHA4Hmpub+S4ghAIzLgIATdOQP8nj8aPH2N3dRSqVQiweh8/nQ3d3N9MYIRSYwUUmCCgW
+i9jd2cXnn32Ozc0tLC0tIjw7yzRGCAVmDokBwMnJCZ48fozM3h6SqRQikQiCwSBnY4RQYOYQWaFQ
+wPb2No6Pj7GeWkckGsHc3BzGxsbQ3NxclR0hhAIzpMQ0TUM+n8d/fvMN9vezSK6tIRaLwx/wczZG
+CAVmDq6urrC9tY2jwyNsbm4iFothJhSC3W5nGiOEAjM+mqbh9PQUTx4/we7uLtaSSUSjUfj9fnR2
+dkKS+LgIocAMTqlUwl56D59+/Am2NrewsLiAcDjMNEYIBWauNPbwq6+ws7ONVCqFaDQKn8+Hrq4u
+pjFCKDBzpLHdnV18/ulnVYnNzs5ypZIQCsw8aezk5ATffP0U6d20vuUiFoHiVdDd3c00RggFZnwK
+hQLSu7v4+KOPkEwlEedKJSEUmNk4OzvDk0ePkc1kkEylsLi4iEAgwH1jhFBg5kBVVWxvbeOTo4+x
+sb6OxaUIQqGZ6plKpjFCKDDDk8/n8ejhI+yl97C2uopoLFrtG+NsjBAKzNAIgoBSqYTNzU0cHR9h
+a0tvuAiFw3A4HGx/JYQCM4fITvOnePL4EXZ3d5B8ad/YtWvXOBsjhAIzPoVCEdtb2/js+afYWN+o
+9o05nU40NTVxNkYIBWbsJCYIAvL5fLVvLLW+jsjSEvyBANMYIRSYOURWLJawvb2N558+x3pqHUtL
+S5hfmOcufkIoMDNITP/nyckJnj79Gvv7+0imkojFYvD7/UxjhFBgJkljhSK2t7ZweHiA9dQ6YvEY
+ZmdneU8lIRSYOdA0Daf5U/znN98gm81ibXUVsVgcgSB38RNCgZkljRWLSO/u4vPPv8DW1jYWlxYx
++9LNSJyNEUKBGT6NnZ2e4unXXyO9u4u1tTXE43EEg0G2vxJCgZmDQqGAdDqNLz7/AlvleyrnFxYw
+NjaGpqYmiKLIh0QIBWaSNJZOY319HbFYDEr51nCmMUIoMMNTmY19/PEnSJUlFg6HMTY2BqvVytkY
+IRSY8bk4P8eTR4+RSe8hlUphaWkJfr+faYwQCsw8Pyt3dnbwyUcfY319HZFIhGmMEArMPAiCgLOz
+Mzx++AiZvT0k15KIRCPsGyOEAjMPqqpiZ3sHnx1/io3NDUSWlhAq31PJNEYoMGKKn5T5kzweP3yE
+3e2dV24N52yMUGDEFD8pVVXF7u4uPvvkU2xtbWFxYQGhcBhOp5NnKgkFRswhstPTUzx++Ah7u2mk
+UutYXFpEMBhkwwWhwIg5JFYqlbC1tYXn33+P9VQKi5ElzM3N8UwlocCIeUR28v33ePr0KfZz+0gl
+k4hEoghOM40RCoyYRGLFot7Ff3R0hI2NTUQ2I9WGC87GCAVGDE9lpfKbp0+RyexhbW0NsVgMwWCQ
+fWOEAiPmSGOFQgG7O7v4++dfYHtzC0vRCOZm9dlYU3MTHxKhwIixJQYAp6en+Prrr7GXySCVSlW7
++Nk3RigwYgr0NLaDLz77HJsbG4hEo5idncXY6CiauFJJKDBi9DSmaZp+M9LXT5FOp5FMJhGPx6Eo
+CtMYocCIObi6usLuzi4++ehjpJJJJJaXEQqFqu2vTGOEAiOGRtM0nJ2d4cnjJ8hkskgmk4hEIvD5
+fExjhAIj5kBVVezu7OCjv/6t2jc2G57FyOgId/ETCoyYJ409fPAV9tJp/WakWByKT0FXZxcsEveN
+EQqMmCCNbW9t4/jouLpSGQ6FMTo2yjRGKDBijjSWz+fx5PET7O7uIlnuG1MUhbv4CQVGzEGhUMDO
+9g4+/ttH2NjYwNLS0itd/IRQYMTwnJ6e4uGDB0jv7v7oZiSmMUKBEUOjt79q2NrcwvPj59jc2MTC
+4gJmZ2dht9vR3NzMh0QoMGJ8keXzeTx6+BDpdBqpVArRSAS+QADdXV1MY4QCI8anUChga3MTz4/1
+lcqlSAShUKh6MxIhFBgxdBIDgJOTEzx+9Fg/U1m+p5KzMUKBEVOlse3NbRwffYLNzU0sLi0iHA5X
+u/gJocCI4dOYvm/sMdK7u9U0Nj09jc7OTqYxQoER44vs6uoK29vbOD4+xubmJtbX1zE/P8+GC0KB
+EXOlsa+fPMHuzk61b8zv96OLK5WEAiNmEFmhUEA6ncbfv/gC66kU4okEwuEw7HY70xihwIjx0TQN
+J9+f4Jun3yCzl6n2jQUCAaYxQoERc1AsFpFOp/X211QK0XIXv33MjsamRoiiyIdEKDBi7DR2dnaG
+b75+imwmg1QyhWgsypuRCAVGzEPlnsrPPvsM6+vriMWiCIXDGB0dhdVq5WyMUGDE2KiqivzJCb5+
+8gR7e3vY2dnBXz78EO7rE7DaWgGmMfIH4CCCvPWflWqphMsffsDV2SmKq/8PxfVvoZ2fAprGB0SY
+wIjxpCUIAmytNkxcv454LI75xQWMXOuC+L//G37I7UL2LcByIwix8xoEpjFCgRGjyEuSJAwMDOD9
+D24jHo8jGAyiu7sbwg+XuLz8HqXvPoO6/1+Q9pYh+echDTshNFsBzsYIBUbepbxa21oxOTmFpcgS
+5ufn4XA40NTUpP97ABBEoHQELbONQn4PanYNaiAB6XoAYlcP0xihwMjbF5coihgeHsYHM3cQj8fh
+8/lw7dq1n9n/JQBCAThPovTdAdSDNaiZZUi+eUjDDgjNLUxjhAIjb0detlYbpianEInpm1d/W82O
+AEDV01j2SxTyO1Czq1B9CUg3grB09wLcxU8oMPKmxFWZdd0JzSAWi8Hn8/2BokMBwBVwlkTp2yOo
+B+tQM3FIvllYRsYhWm182IQCI68PVVXR3tGOyRuTiMVjCJUPcP/xckNB91jpGFrmHyjkt6FmnkHy
+JyC5b0Hs7IHANEYoMPJnU5coihiz23Fn5g4ikQiCweBrLDQsp7HTNZRWjqEebULdS0IKLMIy4oLY
+bNX/E0KBEfJ75dXS0gKv4kU0Fqte8PH6K6XLaaxYno2dbEDNrkIK3oM0OQ1L5zXu4qfACPntiKKI
+/v5+zM7PIRKJvL3iQu0SON9AafU51KN1lNIJyIFFWEadehpjHKPACPklmpub4fF6EU/EES4fyG5u
+bn5LB7LL/4/ic2jZL1HM70DbT0IKJCBNBmHp6gEsfEtTYIT8GxaLBX39fQiFw0gkElAU5R0XFBb0
+NLbyv6AerqGUTqAhuATLiANCE3fxU2CElLG2WOH2eBCLxTA3N4fR0VEDVEQLADQ9je1/iVI+jR8y
+a5Cm45CmbsHS0Q1IMl88CozUdeoa6Ec4HEY0GkUgEEBHR4fxigi1IrTzFEqrB3oa27uLhuAiLEP2
+8plKlq5QYKRuEAQBzVYrpqamkFh+cTFHY2OjgcsHNaD0PbSDRyg+yEDLrEKaXtZXKpnGKDBSJ28I
+SUJvXx9mQjNIJBLV+mfTXMahXepnKp+loR6mUMrehazMwjLshMgzlRQYqd3U1dLSghuTNxCLxzE/
+P2+QWdcfTGPqObTc/0XxH9vQ0quQgnHIk9MQ2rshyExjFBipCTRNQ0NDA3p7e3Fn5g5i8Timp6fR
+0dFh/ivQNBW42EDp2X+HephEKR2DHFiAZXi8vIufaYwCI6amta0VbrcHi4sLWFhcxNjYmMFnXX8g
+jZVOoGX/D4onO9CySUjTCUgTQYhd1yBwNkaBEfOlLkmS0D84gFAoVD3D2NXVVcP3NarARQqlZ8dQ
+D1ZRSt+F7F8oz8aYxigwYgpxCYIAm82GKbcb0VgUc3NzsNvt1ZbUGn8CQOkQ2v4/UfwyDS27Bskf
+h3QjCLG7FwJ38VNgxMCpS5YwMDCIDz74AInlRLWvq75uyS4XJ56nUPr2EOpBEmomAck3D8sIVyop
+MGI4cQFAa2sr3B43liKROktdv+SxY2jZf6CQT0PNrOppbOqm3sXPNEaBEQOkLknC0NAQPrjzAaKx
+GPyBALrMtK/rjSICKAJnayg9y0E9TJXbX+dhGR1nGqPAyLuUl63VBq9XQSQSQSj8pvq6aiCKCQCK
+x9WGCzWzAil4F9KNad6MRIGRty0ui8WCwaEhhMMhLEUiCAT86OzsYur6RY+JAK6gnaVQWnkO9WgD
+6l4Mkn8B0qhLvxmJUGDkzWJrtVWbIyp9XVarlQ/m96Sx0hG07H0U8hv6PZX+ZUiTXKmkwMgbw2Kx
+YGBwADMzISQScXi83j9wIxB5wQ/A2Va5bywFNR2HFCynMfaNUWDk9WG1WuFVvIhEowbq66qRNFb8
+Htr+A302ll3Vbw13vwex8xpnYxQY+TOIooih4WHcKd9+/e5bUmtUZNoVtPMNlNYOoB6loGZSkIJL
+vDWcAiN/6CMlCGi2NsPr9SIeT2AmNGOCvi6zowHFPLTcVyh8tYNS+juot/4CaXJav6eSaYwCI79O
+pZt+dm6uevu1IVtSa9ZjV8D5JtTkAa6ON6Du3YPkn4M0Ms40RoGRX0pd1hYrJicnce/DDzEzM8NZ
+17tMY6VTaAdfofBgF6W9FajTy5Anb0LgbIwCI//2IkkS+vv78cHMHSwvL8Pv99dGX5fpPVbQ09jq
+/0ThaANqOgopsAhpxMkufgqMVFpSp9xTiMZimJ+fx8jICFOX4dJYHmrun1AfbKKUXYM6vazv4u9k
+3xgFVo8fifIZxoGBAYTCYURj+o1AnZ2dddYcYbI0drEOdeUQVwdJqOllSIEFSMNOzsYosPoR14u+
+rinE4wnMzs1ibGysvpsjzJOZgVIeWu4+Cvd3UcomoQbjkK6Xbw1nGqPAallesiyjf2AAM+VuetPd
+CETKFIGLJNTvcrg6TELdS0D2zcMy7IBgtTGNUWC1l7ra2tswOTlVbUll6jI7IqDmoWX/iUJ+F6XM
+KmR/DNLkTYhdvVyppMBqQ16SLGFoeBi3b99GLBpDcDrIWVct/aREEThbhfrdAa4O16FmYuX213GI
+Vs7GKDATy6u1rQ0ejxuRaBShUAgOh4OpqyY9JgLqc2j791HIp1HKrEAOLJfTGFcqKTCTictisWBo
+aAihcBhLkSUEAoEavxGI6Gcq9VvD1Wf7uDpar/aNWUZcEFtsfEQUmPHlZbPZ4FUURKIRzM7OYnR0
+lC2pdfWTUtXTWPY+CidbKO0nIfsSet/YtT72jVFgBk1dkgWDg4MIh2cRjUXh8/m4wljXIrsCzjeg
+Pvsrrg5TKO1FIfsXIdkn2DdGgRlLXq2trXpfVySC2bk5jIyMMHVRYuX212No2fso5behZZNQ/TG9
+b4ztrxTYO3+LigJGR0YxEwohFovB6/Wyr4v8ZBrT+8aeQz1cRWkvATkYKXfxM41RYO8Aq9UKf8CP
+SDRa7aZnXxf5eYlBvxnp4AmKj/b1NBZIQHLf4r4xCuztod8INIjw7Gw1dXHWRX6zyLQfgPN1lNay
+UI9WUcp+CNm/wHsqKbA3j81mg8frQTyRQCgUYnME+YOo5b6xhyg+SEMt7xuT3bcgdl7jmUoK7DU/
+xHJfVygcQmJ5GYqisK+L/Hm0EnCxDXX1r7g6WkdpLw45WN43xjRGgf3pwF9ujvB4PYhGo5idm8Pw
+8DBTF3mdFgNKJ9BylVvDVyHfvPeib0yu7zRGgf2Z1DXQj7m5OURjMaYu8hbS2CbU5Pf6vrF0AnJg
+CZZhJ8RmK1CnpzgosD+QulpsNrg9biwnEgiHwxgZHUVDQwNTF3nzaax4DO3wSxTv70DLpiAFE5Cu
+B/R9Y3U4G6PAfgeyLKO/vx8zoRncu3cPbrcbnTzDSN66x1Tgcgullf8BNbeK0t6yvlI57IRYZ31j
+FNhvTF22Vhvcbjfi8TjC5TOMjY2NfDjk3aWxUh7awZco3k9Dy6xBCsQgTd6CpasXqJN9YxTYL71F
+NA0NDQ0YHh7G7Q9uI5FIQPH50N7ezlkXMQgqcLGO0rMDqAfl9lf/AsSR+khjFNjPiAsC0N7RDrfH
+g1gshlAoBLvdjoaGBj4gYkCPnUDL/VPfN7b3HaTpe5Bu3ITY3VPTszEK7CfkJUkShkeGEZ6dRSSy
+BJ9Pv4eRsy5i4EEHABXaRQql1UOox9soZaKQlfIu/hpNYxTYK6lLQFtbGzxeLxKJBO7M3MHY2Bhn
+XcRkaex7aPv3UcxvQsusQPLfhTQ5XZMrlRRYWV5yg4yh4WGEQiFEo1EoisJuemJifgDON1B6dgj1
+aAOlvRhk/wKksYmauqeyrgVWuRGovaMdiqIgEtGbI0ZGRtDYxNRFTP6TUoA+G8veRzG/C21/Daov
+DmnqFsTuvppouKhbgVVmXaOjowiFw4hEIvAqXqYuUmOIAArA2TpKK4dQD5PlM5WRmmi4qEuBadBb
+UgOBAJaiEYRmZjDKWRep9TRWeg5t/yGKZ1lo2TVIgWXT943VncBEUcTQ8BDmFxYQjUTh8XqYukgd
+pbErPY2tZaEeJVHK3IUcWCjfU2m+lcq6EliLzQZFUXD33l3cvn0bo6OjvIeR1F8ag6rv4s89QvHh
+HtTMCqRAArL7PdOlsboQmMViwejoKGbCIcRjMXi8Xu6mJxQZCsD5JtS1AxSPN6DuJfU0NnYdYpM5
+Gi5qXmCiKGLMbsetW+9hJjSDwcFB9nURUkU/U6nmvoKa34a6twp5+i5kz3sQOnsMn8ZqXmCSJCEc
+DmN8fJx9XYT8XBrTroCLLajrf0Mhn4F2fgL5gwQsXT3GDij18PIUCgWcnJzg6upK33FPCPmxxAQJ
+aOqH0O+G2G+H0Gj8+XDNJ7BSqYRvv/0W//rXvxAIBOByudDW1sYkRsjLOUbugNgdhCXwF8i+GVgG
+KDDDcHl5iZ2dHRwfH2Nvbw9erxeDg4O8p5EQoRGCzQ7Rvgj51jIklwKxvRMwya3gdbONolQqIZfL
+4eTkBNlsFn6/Hy6Xi6uRpA7RAFgAuRNizzQs3gTkwDwsA6N66jLRl3pd7QPTNA2Xl5dYW1vD0dER
+MpkMFEVBf38/VyZJnXwIVEBsgmBzQnQsQA4mIN0IQGzrAEz4RV6XR4kqaez09BT7+/vw+XxcpSR1
+kLpEPXX1vQeL5y5k/ywsQ2MQGppMex6yrtsoLi4usLKygsPDQ+zv78PtdmNgYIBpjNTaTw991tVq
+h+hc0lPXdb8+6xLN/YVd931gqqpif38fZ2dn1Z+ULpeLaYzUSOqyAA1dEHsDsHjLqWtwDEJjc038
+hSw0hH7r0NnZGVZWVnB8fIz9/X14vV4MDAxwpZKYOHU1QWh1QnQuQA7G9PsjayB1UWA/IzFVVZHN
+ZpHP55HL5eD1ejExMcF9Y8RcqUsTgIYeiH3TsLgTL2ZdNZK6KLBf4fz8HM+ePcPR0RGy2Ww1jbG5
+ghj/J2MjhLZxiK5FyP4YJJcPYmdXTaUuCuw3UCqVkMlkcHJygv39fSiKgomJCbS3t7M7jBj009wN
+sf99WLxxyEpYn3U1Ndf2n8xX/dfT2MrKSjWNeTweDA0NoaGhgbMxYhAaILQ4IF6PQA7EIbkUCO1d
+EOpg7EGB/cY0VpmNVX5STk5Ooq2tjWmMvONP8DWIfTch+ZYhKWFY+kcgNFvr58/nO+D3pbHKLv5s
+Ngufz4fBwUGmMfL2ERog2CYgOucgTy9DcnlNdYaRAntHFIvFV3bx+/1+rlSSt/yp7YbQ/x4kZRmy
+LwxL3wiEpqaavHmbAnsDaJr2ShrLZDLw+XwYGBhgGiNvNnW1jEOciEAKRiGPKxDbu0x5hpECMwCl
+UgkHBwd49OjRKw0XHR0dnI2R12kuQO6C0HsLku8eZGXGlM0RFJjB09jx8TGy2Wy14YK7+MmfFpfQ
+AME6BtEVhXxzGdJ4ZdbFcQUF9prTWC6XQz6fRyaTYfsreQ2pqxtCzzQkX/kMY/8IUxcF9mbT2MXF
+xSsrlV6vF319fWy4IL/DXY0QbA6IjkXINxOQJvym7euiwEyaxg4ODnD//v3qbMzpdLL9lfwKeje9
+0DsNyfshZH95N31DI1MXBfb209jl5SVWVlZwcHCAbDaLqakpdvGTn3q3AEKz3k3vXCzv61I466LA
+jJHGcrkc7t+/X91u4XQ62TdG8Eo3fW8QFuVDfV/X4JgpbgSiwOqIi4sLPHv2DIeHh8hkMvB4PExj
+TF0vpa4EpAlfzfV1UWA1RKX99fT0tDobq3Txc99YPaUuEZB7IPYFYfEsv2hJbWgC+F1GgRmd8/Nz
+rK6uVnfxV9IY+8Zq/isMQBOENpeeugLRcjd9F8AvMArMTJRKJezv7yOfz1crrF0uFzo7O5nGajF1
+aSIg90IcuKl303tnYBmyc9ZFgZk/jf17+yvTWK2lruZyS+oS5EBMX2Hs4KyLAqsBfqqL3+Px4Pr1
+65yNmT51CeXUdQuSsgzJG9LPMNZ4SyoFVsdpbHV1FYeHh9UzlUNDQ2hsbOTDMVvqEqxA6zgsrkX9
+RiCXArGN+7oosBqnWCxWVyort4Zfv36dXfzmydSA1Atx4H1ISvyl1NUELjFSYHWVxpLJZHWlUlEU
+zsaM/pMRDUCrC5aJqL7CyNRFgdV7Gsvlcjg7O6umsYmJCc7GDJm6uiD2vQdL4J6+wtjPvi4KjFTT
+2E+1v3IXvxHc1QDYXLC4liBPx/W+rraOuuump8DIL/JT7a/Xr19n39g7QyyfYXwPFl95N33/cHk3
+Pb9UKDDyIyp9Y6lUCsfHx8hkMvD7/Wx/fevuaoTQ4oToXCr3dSkQW9nXRYGR30SxWHwljQWDQYyP
+j6OtrQ2SxJf0zaauFoi9YVh8Cb2vq48tqRQY+VNp7OVbwzkbe0MIjRBsYxBdcX1fVzV18SNEgZE/
+lcYODw9f6RvjPZWv7WtC/4jIHRB7bsLi/xCyP/Sim577uigw8nrS2OXl5Su7+Nk39jpSVxOE1gmI
+jjnIN+9CmiivMPIMIwVGXj+V9tevvvoK2WwWPp8PLpeLXfy/O3VZgIZuiD3TsCjLkANzL6UuQoGR
+N8rFxcWP0tjAwABvRvr1KAuIzRBanRDHFyEH4uWWVKYuCoy88zRWaX9lGvuJ1KWJQEMPxP5bsHgT
+kJUQW1IpMPKuOT8/x8rKSnWl0u12Y2hoiGcqX05dQhOEdme5ryvx4kYgHteiwMi7pdI39nL7a6Vv
+rL5nYy+lrr6bel+XL6w3R3DWRYER46axymzM6/VieHi4DvvGyjcCtbkgjs9DDlZSF2ddFBgxdBqr
+dPFX+sYURamjNKYBKLek9r8HSUlAUkJMXRQYMWMaqzRcVNJYpf21NlcqtRf7uiYWIfvLfV28EYgC
+I+akslJ5fn7+yr6xrq6u2usbq6Qu3zIkz8xLLamEAiOm5uzsDMlkstpwoSgKhoeH0dDQYP40JjRA
+aBmHeCOm3wjk9OgrjNxKQoGR2kpjBwcHODs7q6axyclJc3fxy30Qe4OQgh9C8s7A0jfM5ggKjNQq
+mqbh/Pwc6+vr1TQWCATM13AhNEKwuSBORMotqR6Ire1sjqDASD1Qabh48uQJ9vf3EQgEMDExYYKV
+SgGQuyH234bkK68wsiWVAiP1mcZebn/d29urdvEb8kyl0KjPuq7HIE9H9W56tqRSYKS+ebmLP5fL
+VVcqjXOmUtT7uvre1/u6lBm9OaKhkamLAiNE5/Ly8pWbkSr3VL7T2ZjYBKHFAdEVgTydgORiXxcF
+RsgvpLFcLofT01Nks1kEAoF31DcmAg1dEHtvwaLc1bvpmbooMEJ+CxcXF9Vbwyu7+Pv7+9/ObExs
+gmAb128Emo7pfV1t7UxdFBghvy+NHR4e4sGDB2+hb6zckip3Qey/CYv3HmRfGJbBUQgNDWBhFwVG
+yO/XSrmL/9mzZzg8PEQmk4HX633NK5UaIFghtDogji9BDsb1G4Ha2NdFKDDymkSWy+WqfWNerxcT
+ExN/Mo1VUld3uSV1+cWsi80RhAIjr5vLy8tq+2tlpfKPNVxogNACodUBy/gipGC5m76tg6mLUGDk
+zfFy+2sul4OiKL8jjVVaUnshDrwPyRuDpIRhGWDqIhQYeYtcXFy80jfm8XgwNDSE5ubmn3GXqrek
+dtyAxbUIyR/lrItQYOTd8fK+sZdnY52dnS/SmFZuSZV6IQ7dfrWvi6mLUGDkXfNT7a/DIyNo0DRA
+ECC03YBl/H1IgXJzRHsn93URCowYA0EQUCwWkcvlcHZ2pqcxRcH1kSFYrzkgz45B8twurzA2gvu6
+CAVGDCcxQG9/TaVS+OHqCpKmYurGe2jq6NJXGNkcQSgwYug3nCTh2rVrGHc6MTAyiua+PoiyzDOM
+hAIjxk5gzc3NcDgc8Pv9cDgcaGtrq+OLdQkFRkyTurq7u+H1ejE1NYX+/v4avr6NUGCkZlKX1WrF
+6OgoAoEAxsfH0draytRFKDBibGRZRldXF9xuNxRFQW9vL1MXocCI8VNXS0sLRkdHq8eImLoIBUZM
+lboqJYc1cTkuocBIbacuq9UKu90ORVHgdDrR0dFh3gtxCQVG6id1dXd3Y2pqCh6PBwMDA0xdhAIj
+xk9dlVmX3+/nCiOhwIjx0TQNsiyjp6cHk5OTTF2EAiPmEJcgCGhra8Po6Gi1GqetrY2zLkKBEXOk
+rqmpKbjdbgwMDKCxsZEPh1BgxNi0trbCbrfD6/VifHwc7e3tTF2EAiMGf3NI0iupq3J5LSEUGDGk
+qwFkAAADbElEQVQ0LS0tcDgc1X1dbI4gFBgxRerq7e2trjBWmiMIocCIYan0dY2Pj8Pr9TJ1EQqM
+mAOLxYLe3l643W5MTU1xhZFQYMQ8qcvpdMLn88HhcKC1tRWSxLcFocCIkV/4cje9x+OBx+NhXxeh
+wIg5UldLSwvGxsbg9/vhdDp5hpFQYMQ8qavS18XURSgwYorUZbVaX9nXxd30hAIjpkldU1NTUBQF
+fX19bI4gFBgxR+qqzLrY10UoMGIKZFnGtWvXMDk5yW56QoER86Qum832oxuBOOsiFBgx9gv50hlG
+9nURCoyYJnW1tLS8ciMQVxgJBUZMkboqfV1erxd9fX1MXYQCI8bHZrPB4XBUmyOYuggFRgxNpZue
+fV2EUGCmTF1OpxOKosDhcDB1EQqMj8D4WCwW9PX1Vfu6mLoIocAMz8tnGP1+P+x2O1tSCaHATPDC
+lFcYvV4vJicnuZueEArMHKmrsq/L5/Oxr4sQCsxcqcvj8cDtdrM5ghAKzBypy2q1coWREArMXMiy
+jO7u7mo3PVMXIRSY4RFFEc3NzbDb7QgEAtUbgTjrIoQCM3zqqvR1KYqC/v5+yLLM1EUIBWbs1FVp
+SfX5fHC5XLDZbExdhFBgBn/Q5b6uqampal8XUxchFJihqbSkjo2NQVEUuFwutqQSQoGZI3X19PTA
+7XZXVxh5hpEQCsxUqWt8fBxtbW1MXYRQYMZF0zQ0NDSgp6fnRzcCEUIoMEPT2toKp9MJj8fD1EUI
+BWaO1CXLMvr6+qo3ArGvixAKzBRUWlJ9Ph930xNCgZnkwZX3dXk8HkxNTXGFkRAKzPhUVhj/va+L
+sy5CKDCmLkIIBfa6U1dLSwvGx8fh9XrhcDi4wkgIBWaO1FXppne73ejt7WVfFyEUmPFTV6UlNRAI
+YGxsjKmLEArM+FT6uiotqUxdhFBghqfS1/VySyr7ugihwEyRuirNEbwRiBAKzBRUVhgdDgf3dRFC
+gZkrdb0866qkLkIIBWbo1FXp6/L5fBgfH2fqIoQCM0fqqvR1KYrC1EUIBWae1OVwOOD1euF0Ormv
+ixAKzBypa3BwEDdu3KjeCMTURQgFZnhEUYTL5UJ3dzfsdjtTFyG19MtK0zStlv9ATdPw/PlztLS0
+MHURQoERQohBfmHxERBCKDBCCKHACCHkt/H/AfqncoocqeEuAAAAAElFTkSuQmCC
+"
+ id="image1287"
+ x="175.31808"
+ y="19.214237"
+ style="stroke-width:3.53931" />
+ <image
+ width="29.202602"
+ height="29.202602"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAASwAAAEsCAYAAAB5fY51AAAABHNCSVQICAgIfAhkiAAAIABJREFU
+eJzsvXm8bVlV3/sdc+19zrld9RRNFU0VAtJpQSGCFIIQReywAY0YMQYxxqjP2CR+Pmp4icSoz8TE
+vDTPJjFPNIlG8vLBgPJe9KFBpLOCPJBCoKqgqqj29qfZzZrj/THGnGuutdc+Z597z7m7oPaAXffs
+teeaa67ZjDnGbzQTVrSiFa1oRSta0YpWtKIVrWhFK1rRila0ohWtaEUrWtGKVrSiFa1oRSta0YpW
+tKIVrWhFK1rRila0ohWtaEUrWtGKVrSiFa1oRSta0YpWtKIVrWhFK1rRila0ohWtaEUrWtGKVrSi
+Fa1oRSta0YpWtKIVrWhFK1rRila0ohWtaEUrWtGKVrSiFa1oRSta0YpWtKIVrWhFK1rRila0ohWt
+aEUrWtGKVrSiFa1oRSta0YpWtKIVrWhFK1rRila0ohWtaEUrWtGKDpRk2Q24ADrGr+tNCM9E+ALu
++/iN7Gwepx6tE0FCQCTkwgpoXWOvqq2KJEirLECsayQAIghFPRrRqBAAqQDyr6o1Gvvrm08R9eak
+e9IzuvWof9JgzRu09HYqVkL8ASp+l4KgrTKXjFLjpGlX0RrQiFD0Be13ViR/Sfcf1BsUTZtDzVip
+NGXL+dFXgxbzTVrzLwJV5+nNt/Te4vWp+PujRWO733d7MYEwHLFx9DyPuuETCB9C+P94rXwQ2Jz7
+2g9D+uxhWG/Wm1DewN0f+jrO3PtYwqAiCAXbANQnfGfixFhcKidR8IEvy9bGrERa9agqNtGa6+L3
+qkZQ9fsWZVizZPV4vd125TYvUI/3iXifaG6zFiziwtt5sSREb0PZx4kJafGtfF/x8s39y6Bdx0E7
+fSqpneKcTkG0XVZm36V5RjCeVHk/qfo8A9tVFaKXDp1nz5QtalaFejrlisd8hsc+7a0Iv8Jr5da9
+3v3hQJ8NDOsJ/PLZX+Lj734Fg/VifvtAp/FO4y8hMxIvSEyD2pWwZspCjLX9FiratagxvuKZYWC7
+ZHSGJRfJsGLBsGba5V+D9tzYrcfbIGoMSpEsUQXvt3gR7bxYEpemynYlBh28/9P7CrbG+95hGZTb
+pX2Lp9unBcPKpTsTtsN8lUaKC87UYiie1Ijl/d9ble3yWyIJMB3BDTf/d15/2XcCn55fePn08GZY
+/0F/ng++44cZrNHsDsXv3dYvsJh7SYTgCzgxrN3L7/95EgKCZOa2W5lExiRtZYR9MZiumhFmVMCg
+zhh6pMyLJpc2Z+rNu/7ei8uYmhKlasrHNpdIZSDYKpdCCs4STIIDpLeMCqhErM8qr9c+MTDDGPJv
+1T77LL17ryQEhCUvRRGYjuEZL/0FXis/CiywEC49PTwZ1r/Va3ngw2/n7P3PvSTPc4aluEp4KI8w
+ae6CGBYQuhN9H9SSZJwaSaut+h4o9TGsBSm1T6Vgtp37G0mrUXkbZt2VYLpl7LuiqLTvSdKTAtph
+JBJNMY37YDAirujGuHufXGpccYb8rU9ccytXP+2VfKfct+QGzdCye2iW/o0+jvve+yeMt56IFHr7
+PHFmziA36pkSXZUTEcOJel97/2JTYiKq6hjXXlQAr4lJqnqbelRUVWKWPPyeFvTeXaRGF82E5i2c
+7uJadLFJ8UfcQ53rqPN7v4f2D9kcJmc/yYwM2mlo8dMCatUitFc9u/0uAy9Tc+FqxBwqbQHpOwLr
+Rz/F9Te9kG+Xew72gRdHywMy+uhX9dHc+553M958IhrNcrSXZCH9n7SMtSijWVXpu6cAROfVT/tv
+dSBYu+XmftoqjXbrE5eq0v/KZwZTsRKMnvi49vzPCunun9yIzve9FmYf09qNWYtJjmZ17TxzXpvK
+m/ekgjH11dP3nJl3nMPgm04+GNqVqS+wYfbiZhfZnhCcaWkzVkFguvME7vrgn/JOffRBPvJiabDs
+BhQUuO/P38Zk6wkZLwC0nu5+V1SXnPqYjWMTqT4Rw5H6dvkE6LpqmJ9Pkp6EkBhGUkXyv/uZ0VZP
++V0wLEX6pmPCrhyMT/iLqSqC7Tk6557dJn+zo0shKe7e9B5caq8NRclqrfYxi3mSULcrdmuaGylm
+6leFMPQvCafydvQx6dwnlfdhZMaKJ1VP47yBjgvOlNFUj/hvRdlcb2jGLb+wl1HH4USNmew6rmWd
+84ulZ4oGa1NQ39TTMwOMR4/nA3e8XeF50rUOLIkePhLWm/XnOf/gc8vBWEjNmlvGVK2F6igls0IC
+U2lLTypiUk+W4lyiWUi6KuvpSFOd+gpZiUKcQ6M2Ehapjq4A0Zml86QZZz5da+Tu/SRZ0tsX9TGI
+LvOb9z1/9vdIq6On3v22IciCgPh+Grhg2dwexZgnPf0yp58WKCvdZ5XkYybn7nuO/Lr+3D5e7lDp
+QCXMi6An8Hd/704Gw4PDDEpy1SW4ahIdONVi8UoQN+K0fVbMJcIlLC+zOxNspLm+YiFLRt020n+9
+NQO9LQrxIkD4Vu3uBKsacf+B3Qrnvuy+nEhoLiUVI/dFaA2rUjDN9F7pe1lQpBB+tLASJimlA6hr
+LAROaUssmiSskimlf7Xz3esTTDJSIJbSE8zu9QokbaDq/FY8O9/XNe4k/0Frt02H5EbjUn4wDSFv
+kgVl9uNlJW0s3fGUpCEo2bpavkP2E/N7Q4DpBH7qlscDd7FkengwrF869fvccetX0PWvuZCdvO8e
+3yFFqpnymnT3jtuAat2/eKWnnnyPLyLZnz+WrS+lAVf71NsLGKqQmIH01wmIDLzuulB19/8oyaqX
+k0brQxF7RvmTTn2sApnDdFWkXHHfBe9/LRhEVpdju0xiIvmduqrXIlS0L6tkXdWwUOEuhiQgMwyv
+0xpNzytu28f80DiduX9+e1w9vvGLfo9vD69c+CGHRMtnWG/Wm/jz37+1JV0lulgpK92f8an2zppU
+K9vX2s9quR8U7TBJu3/CJ4vffh1IY5o8YT8Ma4G+Ecg7+5z5KWHgBU3CypLMPqmpJ+FEsWDeaQF6
+v++DYUlLBTXmq8nHKjGshBmJIhJNLfYyEgz/0eiS0r79zpKI588K+PgMoMOwJMyzQO9CWkpL4hLP
+7nOnT8LvZ1g94hXzGFZXyizwPAJMd+BZX3oTf1U+uGvjDpkeDqD7GzKz8k4XF/33tWw6A9ZlGkrp
+xd6I30mD6BWmksd4Ufe8sqkNQardy/RRYhBJQ9rLRUAEoZrFq2YomcElqzL9VSem5qrIIu70c0ip
+nVFVLlkpqhOgkbREqs66lka6nCFxjbHs1dRfg+K7ermKcpQ0pjkw8GfWLCwJSUCwd0jPUmdcklX4
+xqUlPasb1jWfFNWpldeCee8xe+aPYed712CgvnHMaAiRBthPzN/aZXG4NQwGEPku4PsXeLFDo2WD
+7se489av60oUOgjoAWE086icUtLz2eu+eZ9FyvQ/axaX2Jt090+Q7FIwu4POq68ss38JV9zqKMUb
+SggmKV1QnXvhheXvwvzyXja1b5FPx5oL5N/2bt0eYzP3zt1m4bxr/dKVxlh8+iSoee3ouaYK99z2
+KuDonIZfElquSvhmfRF//nvvpFqrFmlJysRQOlu2fsN2BA3BDSbzxOSDfW2lkezF/xP9h+Qk0Y0T
+VCjwThcnswXHxfC88wEypMFJIiJDFttv9vu+ZoK395lnwt/vMy++z5Xoapn4u/eU0SQ9hRncbLZ9
+i9C8Nh/0HGrqy350aFZDDR8UG5dYYnKFZKqTvR8jrsbqPjCskurplGe+5Ev5Vnn3/m8+GFquSqg8
+U4ZrFczxo+q9hbkbaY/S0EMHz6O1MD837+Hfe9rY26aZZvXfKcEtbqVFbe8WLliuVL06WMa+abE3
+X5QEbXy+5hoQgJStY1++cfNoL+nuIEmLf/bTd/ttx0W0uxoMiDwLeIQyLOELlEDyaO+XiHBHTy1+
+F2Y94BuR/WLA+iYrwnzlMPZdTm4MSfUpYCn7OWRBSvcE5DtqgQjZ1K1qyNue+NWFkWTp7uAl0Yuj
+qmBC89rVONkuo+0aDasjBWxr3WacIrRdHhSTogs3BHTG6JGzhCS3EwkQ2oYMdEArUkO97nZFfkuY
+U0Ywo4T/LQIlQG9uEs9eqDMOiZbLsO75ixsyOAoFo+ngEsH+jeI7bS+VHOIidhG/NSJForni53kw
+ScuvyCtSJYq2lo7utvv3vX8yRBywxLI37YYHLZt2aZcuUOZQKC1+nwMqNL5fqYgws5l6GU1Mtndu
+tN1N2jVorrr1S69PXWpP26LbqivNN0kqaqfcQ3fe0NPAS0ZLZVgyGZ3IiciSr5DArInWyqSlu1/S
+Re8pigVt1LQZWHdedT1hJ53UaY5f7d4ecw6cBXxXdKmoZD6LGX+Mz3Skp15JpsMksrTtbjS78dmk
+7vZZIWcggsLYkvHebuhP92FNvVmfKZ8lAcZbl+3SwkOnpTIs1em6hEB24EwD1l2fvnsUstjiz8iD
+sMB9RZGI2iY105Zil8yies/OCS0Ja9eNv4vNSKn+zrtpRQdDSbpJ2WrBRj8Wls5d7y52o9I/TM04
+IIK5eljprr9Z8l+biW/sIynTdrfLJgGrxIJFfG3RVQXnxecWTEwdfy0D9gWI443dG3m4tFSGFXI4
+ggUwm8o3D8m6MJJksVtYyvL2qEs63QwO3Viz7rVO2Ty9cpEONtV/4+Lt/ZwlDzFZ2Kdpfj1Gjbqt
+WX6YV7dJVrrAbJQZZd0lqwIiEAKNi3Lfs7qS3Bz1X2fzmjU0x2lVun8UWFnv23R+iQUTk3hBTsUH
+ScuVsGjr+Dnp/tzyUjCU7jSZI+VcEEkOUG7rgz1i+Nwq7LfYnUSlA2QTKGf/hmZRPXKlKut0zab3
+ZAS4sLrUQ3Mk1aPmKqKISzcJgkgMxf5tGNre4zA7DUrHWGNc890smg0bqXrDbnIerJzLradN2RPf
+3WZiBHcBagxUfSmbO63xCI9FrfaXmpbu6b4fFlOqVd37DslodmG0m8tBn/oYUrCrFCDZw+mFLjV5
+lELWyQ+iL7qbTfea9Je7JOPQBcvnwQHz2lgW7zAbobEyLtiOhyuzgqUzrEYlXIjyeMms5LIfWkQS
+S+lsE/NJzASKk0rm1eMcNUXLd1PjtrxMAaq8r7e74uE7cQ6XXEVKljPgwvpCkO4Ul4r2yUoH3cfJ
+p7CNifWdjtMiD80R0jxTLB89aJ3mW0T6kkxSQGOFBqzR4lrnZ2Ay6Uvz/Jz1G3y40ZIZVkQXVH9s
+DPfB3HatbAGGJU04iaZ7uu5JC9RjPKtHpckMq8ukEj3cp85hks75+2LqWbTeGRygp+yim6VNFm2p
+c/3l+rCydvwkDRC+LzqgNZPrWi49TFRCTzVcgoElpJAHyi8Ks5kuY3M24KzK1fm+G3CYykrab4pT
+W9L82WNzNj6UkgcOHIMtrTf7wMJWdEGU+rsvi0ED5nfKBj/4IgGYnv0BJWeIEIntdataxOl1nmN3
+uOeOg/NpjnbvCWp4W+naEOv2PPe1sBAV+Mnc1DN7GY1Se5uH752T/5Bp6QwrMaLG76jkBs6xFgmE
+zp2fPHV94GXWK745+HSmIZ2y2k7RtehcSQai7jPm37FYxSvaB8033UCXafm12D7uy/Cg4pgwirmz
+r3aEDq40DziPrcsSwoHMjIUwrN3eqZXe5xHMsMRNx1llKjut9EuZ5+fUqkwyziQJA8lOqa6j511S
+ZhlJHpOu9OM7y77A3yYPfFO55Gau6MIo92jCgzT4tdhjrEgbWDcpoXr0iThDKi1nWbQhuybkm3sc
+P7uS0hzJu8UwRJqmYu0wZ2JTCzWH3xTWvgsgiRFRLeJcy1mY33KWuowrb+B2YpM63rosWirDivSA
+0YlmQhh0ftmSRBqek0DbVDbfspsIPHtBQnIu3D0T5Ewl0uAQTf6kFV0IJXO/qeku9fimoOLxeKSc
+UoUWn9DoMudYGgcXgGesYqKIODPLP3Wz4ZIZTf6eDvOod8nc6nMiS/LpgI6uiqjFEW/tTtidUm4u
+FMRbV/DdVg1907FUE5PBKdWpiycpOCxaroSlLgWlTJe7iB8ta4fI/KI5BqqkIl/2npQf0vzbOm9r
+EZJCHdzvvY8E6oLauxUtgcNkpOiMUSojPfV2fZfccJMW8jx8RwssqXWOYbn5uSSUcadFnCpzmYSX
+YVJQiXGmR3W+Zzx3ty5zCS4xY6DlbNrdN2feq/QN7GDC4r8/YiUszVjT3l1gDK3JzzT3jrybRHJm
+SRnQ3mp2e1ARce8LpGnefoYqLP7MRxipJEfIJutEDrYtFo6xlhq0xtwRkoTrB2fEdg4oY0MTWnnR
+0+pOWTxie771SgzOGFK7EoSg0BK0yraahIdJRbvN5660L8yWT1/LKJxCOyhVyi6JM087Q6KQlpzR
+afrebU9fOzuZTyILQDOHTEtOL7PPly9hodnKikLd6/t5ziLlu78vIjGsJKxMLe2qXwJtm/nnSakl
+7rQHXcDmIc40Yywsg7tIUVmFm4c7daUVZhlPOftas6rkMbtOJWm8ZdKzOhhwy2IdQlu7kSI8p7M+
+JUiCgZdGy2VYObf6ohNpzkk2pUSk6eSUC6Q9szIKM/nH8zP9UMoV9VLjajA/k+m+zklMlMNRkhoX
+e07h6gI4nd/mtDfF/s4wCV/koj0+VPMOKaFpQm9ONX+OJteahG/J4itEQ08iol0kvpnfSl+vxMj8
+32XjV7BshnVgpHP+3uueXtTxAp41M0U69ewlgc1ry36uzyAeF3H9MNqoc64fALX89OwfTVJR3yLr
+HZpZhpYQiz01gRnpbY60L9KEYe1GoX2/dsZqt/v7GNAFqXHJSql7zd1LS8tlWNGPgpqx0lxAx3i+
+717m0T0OKjno5fKFZJQxrDJ8o+9Z2khjfc/tPLPEwWaliP2qkfthtA+X68lQUlyXToK7kmKRYyP5
+LenEeUNiTAUwPNP/c1ql0B/dIo3UUxhudDc1z90GNHTeK1OYZZqLeKsntTNBZH7mo8YpZqBqNbv7
+GsWz/F12QzB2oRZGZw2AesGbD4mWndO9BXACFwnqzZX59/g7NWQeDrZHfTPZHXW2TKb9qMCfy5R2
+7znq+27qR5mHbK/yZa4x7Zj555VtNVPm/9Yt0yWNnvVlH2C1FPV1OFMyAMSc6ni3Z+f/zJa5ENWu
+5Ve4PFqy42gy0S7QoXv5ZS1ElpStd7h8Esx4P2uXmZXtS9JhbL5ntSThNWZWb3ar4GEezWRa/jTY
+L6lLR5ItY3OxJ6X9vgj5vETtMvbyvl0WVR+jaoWZzKp37fu6C3mBEdjvXBMx8CkxlY7rwsJSj9D4
+kuV2pz+FdLKRzpxwrUk8RWTgzNrP5UxSPz14b97De/p1P4z3kGjpwc8tj/Z51NdJBRi4MGntG0Xf
+bHEG5Ye4Zh7VlQBbNJ2VrGYghLqNg8xAOxeIMSyVIhrtGPqkos0fwZ6+nncsfes2md/vpQRSSj7B
+MCJUHW6gH25IIS/dLBoHSXs5OofSZwF3tyjbCVQFgy21RMe4pPTs785V8XKNL4N9RPPf5llftrGn
+nV16JFsJhbBLBsU96EIW+qKSsHb+Tbt2PmPAn1umoNnzmT7SJTYmxaEFn1UkNM64YAtqnmW2R4rq
+kza6YyMg86xtHQhBZhYdNDGls/c2wc6hjYldQpI8f60fS2mnwfqK958HWyY/Nk8aaKE96pJ7W4Js
++rP5tyXfi+bQIAlJwygfvvy5uvzQHPXPbiA3zE6qRSSzedT15i2pg3mARTvmjKYlg+r+W9YXfHDT
+5And92tybae4wwsy6S+FpHDixF5z192gc+itOwqlPObZF6h1i+5RJ7nfW/fuY050n7kognkQ1DDK
+IgdWfh8rsRhYkESvxJSk50XaZVpv2PLXKHy4kopZbkwiLB6edji09JzuKumEGDWxuNg1GuoBDy9m
+cXcc5fK1Lok0OfhzO8sXCDPlW03eq4mtEJO9Cj+8mdk8aQhmGUMT9tJcb5i1L1qJnf45fDYyYxVb
+mOYBUnPqKaVHTeqZZ1gtiyXAPm2Y3g8ChepbQ6jMZ8wnnXbfwfTfomJp9W2KiGygsUY6s2PpUl17
+CBWXgJaf092d88DF2V5Jw+V8Cdm/Ziane7l57HVQ6TxMDGbARi1wmvS7OAajLmW0zq/M667NEJU6
++7XkKPz0qKpfnVIP97AJ9jB3SN3FBVo631QnrQ0iBwtDNuE3RpCBjbvWi2FfF0O7qvY9ai2ABGsj
+ZWpiMypIy6Ulze1UX6tyaDEGALETlzIXUWSyQzx/kqA1KkOoJ2g9QtfWkWNXwvpxogqh3kG3z0E9
+RdaGSLVGHG7kfHOWhdlUTsOxPPd7BAk1qtGzPNBAXpD31UuvQDe0dMfRvvFLYzQz0Ys7SltfqwPL
+tCBzLVe7AKJ77a6Cg539bc71JXyrUDHThO7idrumEJFuquAVLYUkzTtxTb9v2TpDCOXK7s7meePY
+lcoiYTrKcAHjTeL5B6GemtQToyEQVQVb52G0DRvHEYU43ibUI6hrtBJiFOTo5XDFNea4KgGNNWG6
+g3h9Ug2hqgi1EGMkOPShVYUeVKbfA6Clg+6lmJusFtEHpFfScgtJN6d7yKBvMTm6sIiksmR8Konb
+iYk41khM7DGphA2aS5RACTLPhE70AfFlgSRRZG7dZqDNcfH5Co8U0piwkwT6puBn5mteJe2phu/y
+W3YEnl8o/yLNH+3spuLSZjMPF8EmFQgSkLomjs+iW2dg57xbrSsr4VhvBLuWD6wYotMJevbBjJXG
+tFmmabp9ljDdIa4FIgGmNbGuoXaH6xAIg4qpBNtsa0UGG3DsBLJ+BK0GHWxrObR00F06ViRNACTF
+8d35+/zJ5N5OLJL3PeJSUqoX8n0z2H76j+RvNLvnArvOvMkqNDiEYxqqe2B4nzO02/t0fyv6vEx1
+nTedgkR2Mbv7vZ1sDYu3ax7tco+wy7PaBUVr4uZJOPsQTLcIQFRBQtUYHxLUIdK8f9LZBKiGHUOF
+5NdWQCYTZApoTagCMXpGXcc0Ym04sqXTCTA+h+w8RBysI0cuR45dBcNjj1yVMFCZ/tzdFt2/Jp1b
+GJxxJaE8FwMg2q4SHXAMDiBqU7IlWSXKyrmnKknSVk6w6NJfTNY8oRXYnJ+p/RJVfk4KB0rOkkXj
+oztfygBU2jzxc0aqSmcMlmfzDfz1kilfMg6U78p/er8hSAfH05QNNknpyVmTPpUt1TMvQN1/m7lW
+d9q+y3syBRkSUJjWMBmhFchgjWxdk4BS0eBcEOsRcvpB2DrlWUKHJhhVCT/1R4TKNzY/hUf7XDcK
+DpU2QJ+bMeO0A4uwKRi8eDok1WiCgS+gGDYgCrp1Dp2MYLS1Rz8cLi35qPqkme2+4zW/Sgdvsl81
+NmBsxsZnq+nZGaQzqEIGdltAY08KZ2hcFySlue17F6+oQU/bTCv/1qXPFakqSQH+d5ck/4f26ktS
+xV4S817YUKfo3Nwsfc/Zo+2dskECIdbU42307AOEycjgguEaEga25Q6PwtFjZlwQ1/JOfgZGm+AA
+eH6VnLWh1SJnMnsYllQbv8EZa7bXpJL7N6eKTmVFnbH6JiDY2hg/ghkWooQgJGfwvFnmOZUYBbtA
+C43lzb829xTaRKaOxNwMUGpScI2vZDTM/p1wJ29XiWO0m6kuhKUc87GZHK2GfK5SIZ10Mreaz1BK
+4tfug5Rh1kDu/jJZNZrz3G59SarWRU/dlWJeZUOLjV2wL2T/vCAQI/HcA+jmKZ8fIV/XemQ4aT2B
+nXNQBdAarafIeIIGx0Xza5bvVjgdh2DCj8T+Psmkxc8+NzOTK+d2kr7Su5qxSBB0vIloRRhuEENl
+OSllT++4Q6XluzXEJKrHQk2T1mC0xOKZ8dGmOBQSjsxa47r3l052ZaGkHew2r9MkTTcl4alXFQEY
+gIYeZpVb9rlLHYfF1rD0OTM6NS4A/WVawtm8e3vaMe8w0l7qPDsEs/YqVXPAw3RM2N4ibp2E6Yjk
+ZR/LDTFNvpCypdYQJ6ARHTq8EEJxzFwpkfu1IC75OMa0m/BZzm3vqMZ4XrU7yDWMxNZEa3TzLLp9
+DqmnTNdPIFc8ymJCZbh43x0CLd+tQRWta6jHSIzmBLe23qhiu7oc6KyIn8uEObN2j/Zk/6t9lF0E
+WE3AWruG4vPZSuVOMndXuYh6vT4JSJz4Qu2u1LYI3bhCUsyh+eV3jbSQkmkoGiM6nRLqLaSeEidj
+mG4T6wmiAZU10uFc0RmBiGGrLYrqwkwwQVGKvkv35cLG9PJWF4TmVN/y1J+ZxvvlVNYhls672t5r
+dWpU2DxD2D5rkE0YwmQTOTVCjl+Dbhx55EpY5j9yHqLlp9LUuZMJrA1M309HdfWSEKLtcrF7WKoq
+oXBItEyOe0duztRTqBLd9Lilw+NepGWgtGMQzTHqn20SVtafaSRI3NE1GRku8p08V5YBwAGZbMPp
+T6PD44RjV6LDo6Ajf5SdlpOdckMAncJ0hNQVDCtiSK4zobA2l5tThYidRC6iNidjRGKN1jVCtI11
+tInUEY1TGv/wCmTdmFIIllIZKEO5gmt4Gm1eRm2Cx3NAftqkQ+V8VI05Vd253XRx9kiXquhyV0cJ
+zqssSwlhMFON/SvoQAhRYes0unOmGNWpaTDTEZx9EAkbj2CGVY88t0/IJjzBPaHHU/PUXb8MBtV8
+KaatX9DaaUrfJi5iCWk6iCAdO+4qYGun77aj28yuRafEKD47JCxJ71u+nkbz5wGkCiADH8N8Fwv1
+vJClGBxL0XpKiBB3zsHmKWKsYXKKON4krB9DNi5DBgNiMPVMgsB4G906DZMRMt4mBjGT/NETaDUw
+i7KHXGUbTpwyGJ+HWFPXtal19dikf3UHTTGHSyGaj17Gf/y6mO9TEIHKlpVKyFZwezUFnMlWpaWy
+BGmbk6QAw3i70IZLRNYue5Yk45HXoYKrkAHigPKo4gbTktzXcvokMj1PHO0gcQJhzQF+lyxrRTSi
+mw/tPZaHSMtlWFUFcdiaz12UQiY7IAM0rLH35G8zq3l5s/dLQQLsjNCBwjWaAAAgAElEQVTpCFkf
+ItUQrdawXd0ylJaxdMZcuw52CTiuD0QAOXxSP90GA161Rsc7xMk2Mp342nBJRAuJYu0oOlgjDIY2
+ZmENVIkuKFsONGPeliw4mjSk5rAodU1dT80aNR3BdApx6lqdZSRgMiVOziA7W+jaBuHoCUBgsgNb
+55G6RkM0L3ARdGcTGW3CYAjrxxCpTGpStzBPt5lOJ+0NTtIpMVWWkpSGQSQLW8gSuUlIEbLzZgMr
+eDiMRoJUUBUH4mhN4zisKAEJ5uIhwUPAOgHIpkKG9FSbSkX+dxJzzBIe3p7EJO2ZlQyIFchoG908
+aVqJBAjrnldekFj7WbTprIP6ESxhZQ4PbWSdPICxnsJ4AgNFhuvYlCdjW4fdeSIg4/Po5kl0vANb
+FVQbMDxC2DhKHA5Nzy8cVrP1K1ODtdk8aoTxhw/NqsIBP9hhdI64vYloTdBJw0BICyRA7WpHfRai
+EkNAB0Pzlh4cIawNCBKIde0mfWdW420LK6mnhg/pOMMtgmNWCSyvpN1WnSBjiOMd0EhIqVqqDowg
+ijBEpjU6PeVTTgz9SfOuY4BR74Pss5XnqdcbxBa3dLGlnr4Ejx2NjS9UblsXxG7U1ZjiKkscLQn3
+3TsGZSoa8dAwrP1hSMbqgOTRX4cA9SacPWWq9GBQaDIJC2TpJ+WUtGSGNQBqRNw5U2tIO0yB9ygK
+04l54ZKCow1b0grMX6QQsctQF1Wv13cMaKw7EjwMRlGtCVHRkNLQBoIounOW+txJwnSKyNClgPMw
+3qTeWUPW1pH148j6CZCAiqejmQlW9kXn2R+XrQaq1KaFi2UMDdGljRhtKU+nBJ0yHU+QemzmbNMz
+gCFdA0LKLaVoxk2YjtF6AttnDR7OalGZvE7dq5tGzfKx0dRXkjanhPOkvksOvQG08pAV/8SyXArl
+ElC37rU2y3RSczO3yoMfRMrsBR4yVqV6EndtNinVyl/ILd95LlfN3GxhpeI4k9fWPbhXQhKScj9k
+4DzYAfKiE8u3Pt5CB+vI2lF7pGdyiMGCtEP04+tDgPEIPXMSJttoGBqzciumxKlpDUl1VU/3swAO
+fJi0dCsh2RDYcHYl9q5njbVP73zFFtjakTz5tPZsDul7BiBtdZaafGmHEV8IIQRbwJMdG8idTYi1
+b26evyoPWo2OtpDRNnHtPOHYMXS4gQ42kLqr93WlqSVKVyKEGGEa0ckWjHcsTKo2IFk9sWDUQQMt
+JWbh93eb31j/3Xs9f9f2WAp082NlRgPMeKH3RRFkRhOKc/XKxgASbCxzYLlz564vXdMoJDR4VCvR
+n1jmBIOHxKMwSvihY5HunHpjKpzNLdUcRNZ+F6n8moPlJfUc3ZWZZKzRcw/C9lmkjkicOog+RKqB
+7ddRYK1ChuvoxLIxhGpAHG+i402qwcAkv+Ro6n2hde1MrJRYL+IIvQOgJTuOBpo8QEKTgdN3qrQw
+WvO1PXmDVjCpLRlg2rHDAImR2iWGEJRIBepAaqg8XEJNmqgnyGQbnYyoBxuE4YC4edb8a7Qm+n4b
+0kSOhYoinm5mtIVOxshgDdk4TlzbMMzEF2BQnBmop8Zp3tPCNSxMI6eUsR6wyUW1L/YmknyFjCnn
+PPYakTixRbx9xsKO4tR3b7F+QRF8QYpJTaLdXp8ZhnwxJh0iA9udo6Iysyul5VbjXcqoC0lUnZG4
+FCcFQ7BOm+X/kiTptjSXJTKKTS3d74B8UgfF+7CtLgbfsErpzOdtZSqcqDjTHzR95RtiGovMgrIb
+TWJ6jSYAvkEn14gUd5o0hckIzjwE5x+wtaQY9hRrqKP97kyNiUmZwd89akTDABlsmPSpUFUVqpEY
+a2Ks87xI42D9tb+5eNC0ZNCdIh4P2qpCEtt3q8AXSArNEfEcQVswHSPTsclrA1PbdO0oWgUCio43
+YTRCa6UKgbqeIHGMTCbEbUyNVPWsDdaIRqVJ0pv9R6shDDeQUMFoh7h5EpkeQ4ZHYJgOABiig6pZ
+EJj5XFBzIJxOLeVH8ph2k7asr9kC2cfGFnXqkz+gdTRpsd4mTiegtc3B6H1dVQ0mKK5upHdM6lF0
+SXXuYDRTOIj50EU1SVnULV2qHiIqrbtmXyst5KrFhLKljbY61dIwuzWpuktAobppobq1YANFU8rk
+RBkIL59ZZYuvwZbJQ10gxUNK3ZrPjWySDo3oocKKLMniqUMkjmF8Dp2OLbwnDNDJBJmMiVvnYLpl
+G1Rujyc+dCdU0+KHjTQZUk65qlDvzB0k1lPbKGJtm1VbUDTm2XX7ucS03PQyUtlekTZI73AlpYdN
+k3dQiNzNrgvAwCdkCITtCTrehnqMRo+PCsGFNx/kMDBXirAORzaoKrHdZLxNHCe8CxgMkFrRGJBB
+RQgV9WQCISLR8IZYGSYhobKJqGJMSSuUGq13kNHU/HdELBwjJJFfTZzHsRkE0WjHnWua6oJuV7Bx
+BAau9oaQJbKiJ+3/jjNICOjEQ0DqSRPoKsGtrS5ZAvmkH8dEskRBymDJLufuFc/3MYmDNWTjiIWg
+jLbR6ZR0PJUJGXMzmaVJ4X+U/lxi7Zw52WivZjlzUlqLT5LUkNWx2Vs1tTW9Gk27pFQBk9uBiYVe
+JjiTLqu3L1GCuQdIyHNc6il25qJL33Uk1lNDeM8/hGyfRdCcN00kUGOSvYYAaR1BloylcJzORgYt
+MqC4+pvIVGclhRQlaVaSVTKP1ZxTpy4RLTc0pzYzbhABnUKcoDtb5vEuGKfXCGvHkOHQUmJMTUwO
+lVk+lGCm9EqQjQFsrHmeH4UqUFWBWI9gqkTGsLWFTMdmLpdATGpAChHSCgYGxuuAvFvZOCcrVBuD
+UFe1xCeuNV1t0YoglacIUQV3QsRb34jcDmq66pDUGRWF8SaMdyxaf7AB62u00/KYW0XOmBMVxpbA
+LYGoqVy2ruZUJXg9yRpXZlT191/A7T+B7jLYQMKaOSnmvF9uHZTuZJ/NWl66AqSdrFGfwpyyqbqe
+dkZfuNIwZpU5IlnTLHKkRAoQLqqOuS3F9RZTc4knMxG3oPqmJPUImew0lsPN04TxljErnwuiSh0q
+13a9L0JAQ+UWwJT1oZwF9l/D9aTpjxwOZq4N4huQqd2FSwrNZpDbnrVt8XC1RzDoLucfsMEYDEz/
+Hu/AdId08AfVkFCtI1IRY6SeWmpdGR41j+cgECJRBlBPqSWa+CtryMD8iGoEdA0NHrQ6Pu+7/sAm
+VZwgEghJph4YE5NgGExUD8doWR4775FUhwK0XKwDhFBV5jpQVKyI+8GQtnoyGB3H6GjizKCYZCFY
+SFMIyGSE1KNm928eOLvK57xTVr1i9Ayau5MGoAroQEDNnyqqZgn6Qg/Y2PddneeEqnILZMP0dss/
+31QjUBwQoZ0+yJbmAhpjEPKmhNqGaWUCjDbRcw8goy10spM5QdocoqvgwQO98xEcadNwRpQ8+VN/
+ZlbU61hdaCKQnWYbHU/Z/eSn8toy5aqGlithTSOi2zBRTw0VIAyIIZmzB8SjR2HDHQNJkggQlNql
+FhFz0jSfnTpbVQyoFMLgCDoEJKIyROQcUWpkuIbUikzNByiEQO2TMDoTEU+yl054ocwA6ZR2z+YI
+uGagy3Cg1hsUYnozL/yPapAZVN7xYrHgVHIgrD/FpLydkT1Ba3dwnJ1kUlicbC0EL1aTcj9p8v+x
+pEyoZX3zNgX7IbmKiLugxAhUjhuOrL1RUXWQWnxsRCFWJi1K9AyjXg+ATtpzJFSzu3rHtC7Jslby
+Y1/kMb/tMCfo7F96Smmh1HwNx22SJDK052v63aQmHW8hDz6A7JxFqInTKSEchaMbht9tniHEsflW
++USQEIia1FN7T/Oi7+x8jjdpXedUSnmeJYk5+VplKdYdchNYH9K4RfLJ2aWVNFlcU9fG2sqnLCOa
+jFrLpeWC7sOA1msuTXl2xaRLl5aRGA3QrhKWpaAx6/Sa8IO0poXG3F1P0WiLwPT7aNacas0DUiPI
+AK2nhvUUJN0vIYBE99AumFJmVBQSi8zWkcuEYrIUFBJyVYrziXG26yWpmDMNbtRS7e6c3k+ze6mm
+Tstl2u2SXG/jR+QzOxadrorU0ZumjXRYOFtmyc2xSpLFLZ2YNJO7KcwwrBlpTfN/aPd48R6FlNQv
+tcmMFGUSkLUhM3EMEM+uOFqjmw8RHrwHHZ0FEWJljrFan4Uzp7NUpz6n0QIRKsYoqX7d9mmrDxsP
+d/MXbEvnLdU0TcoQsgXaqjB3j3wuY8IYg/SfL9CaD49kt4a1IxBOEIZDO+0jBOrxFsTaGFQYIsMB
+yBAztZsJWWOECJWCEokpfKJuAmaz2B+COS/W0XZCt4AEgkXau0guaYeO0Z3xnBxTsEll4nPGQJLU
+JcWEaJmAG9KceZT2RiVpARt47xcpPeeb+xuDWKyNaRso6qZqohsHfVI7yNrKFZYWR91YVg1gjZkZ
+JcC1aWMhJUqKpyzaL4VjZcmouhhKk87VmVPV4H6ussdOgG6SXq1LrG8116OZ+RnDC9k1gSKpY353
+l6IUd7Z0Xa6jkbuvlTFRg4ICoVbidEIYbxGnE6r1I6gE4ngLTt5jBoZqQAK9SaOVXRW8bQVDSC4s
+WZrzfpthnDFZ/hoJV2PtrhBdq2OarwljC4TKszCkVMhujMptTVZhLcaKkMH6pmZlZle/xLRchnX8
+quysKGLAdLW2QS3uE5R2lqTTmxecYQRxSj2pQcdQbTjMU9kBEfigADZ4NQHzL7GdXRp3Cugsztld
+MOn6aX82yUybiZlAt2Kn78Wpu5u/h3eYVibFgqZdcGb+umjvqqr5/OAma3Wswp5n9sieSZbCXGIB
+9ruqk3N29bxDrqtKTNuvZpNYfih5N54TsiJpIQsesiIzz0zHTUEpaRWe6UFQKn8fH5dQlu1SkmaC
+QQjSLOT8PkGa/kCQMyfR03cRtk4BFhcYxcbMEjQMqauU9piGYef3SQfpFu+j7tcHjQTTFtzzZthY
+M5Mo73/3iorNu4TKLJp1AteT60R6npprjeZogmZNkFIl+yZt97iUsERaLsMabIBuNoGbBCQMzQu7
+biQbzTEktflQ1VOXmmqQaL4qUYiTiZnUg5+3BhZlPpkSa3fwSwwwHdSZJlRKiCbNYpUEShaSFNCo
+L9pIJc1NobPuqvxuXclKctkUMtTtIKHrqBe1bqxvxYLwhzQ7q6byRdbMolUy80fytGr+O+PgVAhN
+6XuZqaW11qRVrENp4divWkh+SFr0RQxffsfkO9aRVLM0ob4IQxOkzITUj+RZYfWWa7/9mim3QUDG
+54n3/iXEHVQCAXMh0EosEUK07A2SO8O3NdUm2Dgzr6aTLAi8+bnZHNPzaSTFULUYn/o4ixRzKnVJ
+eQI0YtknWu8vrTHDVVxI/nfNx0LMaKQuodmolkRLDs2p8+4eqEHFLIH1iNTJFrc1sJ0pTk1yqmsf
+cJugWk9I3tU6GbnTnMd61Qlk1ELcLVSaJA13xPCgNhnN58qzPJa6vNJYgRIVzGPmQFWc6YW06hVC
+klf8PcIC2Rw1IkE7zE39US7alE2S5nf7Z5YJpR8lhdRIkn7afaLle/XUMW/v7aZHKZ0kZx5QpT4I
+M8/PVOBc7WeKM6LGXSIhQj2sunz1FkUCA4no6Bzx9L0EHVuKYEyyygfiJgaVfZYaNwOTnJqNouWk
+KjLbJ3lTnJX4c2uzNK0+1g2Oy6DqvJ1JTuaZ4dtPcAy4LgH0BlgXBGI09dHhhnIINPsQLo+WHJoj
+mEdzROuJ+V/FGnGnTwkpTKRyCcQ61HakYBu1Sp4cgjMs1AFOG4RYJ0aRdJX0abaaZBZWTKrSujlM
+shEfGsAToDchYGaEBV6T3rVIf9vOGeU3tqS1OV1G5ThVR2CDvEyj9rGOdHxTEu/L6/ZuQpsRNFh5
+w2Aa36xkDp8zgbN6XLx3emxm2qnlVrF5ACQ1O/T2xcwVBcSdhCncArxdA1XqsObjEZthN58VD6NJ
+UpfhOcENOvHkp9GT9yLVoJFM/HaVBrBOIlIWGnND02+FukxRycwbqU+1mN/dhKkqSz+S56JLU1XC
+K/s2DC/oGkGs01mfhbNr6g4PsI5FW1XKdQHZZWOJtFw/LMV2gdpTWbj1ScM6YItDqwAxYQDJhD52
+b+Fopx2pWvrcujjOPGFQaVfoHonUkjxqVKc+43yAyjANG9EmA8CsDkF7IkrDsII9x3IcFc56oQBM
+E+g5jwpGpiGhUsXup9m10NvQLwYlNSdUknNF5WyvfRM+85KOa0ZyJpVGqphpcpbQisXasXw2DDxl
+X1WSW0OjYu1FgmX8sLkTayVMxuZCcOpu6vMPwdpx5PjVcOJq4toRRIQQB5aKr7L5hwpRpoTBAKio
+N0/B1klkuNZOCROjM7iCUSf1u90Bxbu7lF9VjUtEPp0pqY3lx09b1mhT17OTJhbWZopNN7d6S0zL
+MO2ibjYW6YyLjz21ay85cL1wTS7dHx7RoTm1BR4T6+yYGSQQXRxVCbYLRkU9kRv1yF0Val+ovnDz
+icFpYOwf1YhIRDQUp0UHcna/FuOiGNjgizOJxn2DVUhP3espwDa0Ja2Uw7uFoRTe6A2OUNRfspJu
+KIhIoXWK85Ge+1JxzKw9Hazb2ggDA/HjlK7JuiMDFT+EjM9oBsC7hWjcGBBawHZoHDIbBm//puR3
+DR5UiAF5fPygBOfzglis3fZ5OPMA7JxDich0G6hg8yS6dRY9dRfh2GWwfjnxyGXW75snYfM0cTqF
+KiBHLoe1Y4RzD6DRwYDOhpHTG+VNoyVb5R7TWATzB7e+dlPHdP5uVHHNklvTPyUVc6RfoDYDDIBU
+nrNdjCnFsRdIwyS5FTNOCzMbzTzF/9LQch1Ht05a7F8y2YYqqwuq0XJfR0uZYbp18tFKakrZ0SbO
+S5XULY+9EkFl4JpAIWWV41ws+uZ4LxfANQXhBk8Ml1tvIShQWLLoqFtl6UTB8LIEqIpLOzTWSWLd
+rqcrxSiNWqWNa6Qk579kqo7pZG1jAAZVBD/52ioyQ4ctKE3nrZVqQHq/9G5RzSBSa8FwvD3rA1gP
+nNgYcEVV8emRwqiGUXQssQHAVTDwPKS+T5tGV2KLxnykIhDQ0Xl0tGm5xydj8/4erKGjTXR03teh
+ulTi09tTFlOPiWceAH0AEUGqIXE6zVZWCQPi9jl3a6iym4pKswWgzqI1BTgXJ9C0pCafE1l1rgpB
+WdAWvJAOgQURyRureVY022wzI1wlLzbGJuNEwQglGQ/MgTboJHvhl243sYQiMkMtgqjBYyNTa5dH
+y2VYo23CdMfFUhtE8Tg9Scn0IONRDCqSJt85RyALVtmJU2niZ8WdGVuMhWLBucSgWkhqPjjVkGyn
+726kzZsUDen80j1ePVsHnVH5Dho8UVp23VgkzkeV5CFt7z4ghEAlxuhjSH5BCslHKzuHdtruv1na
+4OIZEZhE+6jA9cf4qSef4LnXHefYZRtcdWKdx12+wdUbc7IQOG3Vyt1nRjxwdsT5cyPuemiH3/3U
+Jv/ljk30/ASGYqEtAXLueGzMKirY2iae/gycuxeZ2jl+4tazFKepyfUhSSUz5EzeN6Oolmqo6Qnx
+IiEHrKfsErFg5IAz2lQXs/MiS16pb62fMwaYJLZClYsa7cRojYQgtglLe5NsYWLlc7T4HWzTq6ee
+g0vRuvZsI15Tq47OHC27LxkJpjWCzMFHLx0tVyUcbrhmpg6AZmHGNtqiI1u6dJaSbLduXUuFq/Ki
+tgcF8UyKtpANH3DT8YylTtpQSmtsZ3GMtChClSZ6ueOV7+Lfq5AEICN3XLX2pYyo86k97wzIr/0U
+mbamagy5PNCl8zIurQWTTCYRanj2Yzb4a08+wc1PvYovfsIJ1oYVw2o2aHkvOloJT7lqg6dctZGv
+vS6aJfaj92/xrttO8oFPneVX79xCRzWsmY9UpQOqs/cw/sxtTcOH62UPEHHrl9aFY2aB2WRgPHYk
+yLQJubRTDcihR77JxYwzTh1/SnOpVO9SX1auxUl74Zd+C56vndqxOvFY1VjM7TROfl6AhmFWr+1n
+aaTu1vzyMCH30xMRS2gZKg8x8xNw8u5ebozS1CFYPi01K7m/kWH3g16vvktGy2VYVbBkeIqDi9Lq
+u5Z1xS2EQGPWnreTpjrmpXP1VLjN5JrDkea1OzsBzjHPk4Z4lzakuqQJdBWvW0lSRjHRd6X9TKFd
+yqrARBkcC/zIc67mrz33UVx75REedexwDs8cBCAEvuBxx/mCxx1nu1Z+8tQO7/joSX71/ffznntO
+UZ+7n3rrwUJi6VKz0BrpqlMijVeWlDv1SPlHmnNd94/E9Oz3kHyXSE6zbVWsDTvaWTsk41CssySf
+jRNl2FCWvhwCiWOgyoYb0ibfEvm1uacQkdWFgeYN25KVlGmFUCpRy3YyHRm2nLQBjF0ul10tWyXU
+CqLvWp561gaoC/1JVqdai1iEjFMURZu/04D2TVCfIFoOWDGB0rdK3CO5yRXVhJ/swrCkWEi9Bcpm
+DhqmWc7HmReapcx0F6VOexQMj9pWHn39UX75lsfw/KddzaMPiUntRkcq4YnXHOENt1zH37jlOt73
+kU/zb95+ln//++fh8jXY8ASIvXwrYTrd0B7/V1wNSo7BtqNBkRe48dnyOFZJ35JULBk7jOUcLNqQ
+vN/BsSGPRhBXW026igbwA6ptT3Z1fEqAnF8dYzyW/tvaIB4+FhPeGYv3SucU5GncODibSiq56U3K
+H8tOItORZTPRwn3BXU4sZGu/svXB0nIZVgguZpd4TVLXFqPZmL2ZEv3PpptJ0qklETUOflbWHFk1
+NhNgTqP2Nv9m9bCJw/MLMy3di/YzhcqyGhV2Il//5OP8wEuu4yVPvWrJboENVcALnvF4XvCMx/MT
+33aKf/e77+Wn3/YRm7FHeuLnCkAbinmQMZv0H7IEbkVCg+ekwy40FWmrviatTIsKvbKkKvrDYhkQ
+TpKUirkqqcl5EjR1ugpZBiFrvglbK7WpkNrFt1K5hDnkOep/Bwd1U175xMQ9y6jWNVMXDDTtmoX0
+Gdvg51JoqQwrqJoHccIQ0n8L3tWiXfsqTYa+Qh1ppyWhtR81P7lbulezetAOeWlP7Ua1SFhD8i6W
+WXVjIX+jgyMFGEVuvnaDn/4r1/Hip13FkcHDhVXN0uc95kp+6rtewbd9+XP4p//5T/jVP/kkHB10
+NhcPeqcwyEAjWUDR785AstXYXSRyhg317K2xAZnztCqCxHEm5qxHc6qYYq5ALpupmDuamEux+Ylb
+kqVM/Z2fkjQDw6iiJ+qTdFp0wbiyKqxKFvuK9gUw/KyuEbU0PxY+GGmcpJs+QYRHtIRlifumzQ6X
+/inl+D3JmUBOXdL9XVoTx2ZW3+JM+Fhn946x4JPuZOdYRpM0oC+MxHYxyabgZseTGQZ16XYtrRU2
+a372Fdfx+hddx9VHl39w0iIUgGc88Vr+jx/6er7xxR/jq3/m7TCYwtF05p6zD4mtedPkHsP+jY0q
+aGmsE07kizTYAm8Fc3db4okNNWeGKOZIS0Vs1EnjS8VMyn9bNlIJlTHHqLPuA0XZRjysmsw+GS7R
+BjWJRaYOMQOGJQ2IWUuI6k6iyZ8RmoiQYkqqny+gqQ+XSEudrdEPdGxIyWe9JZCzpDTXci7gkpJn
+eZHGxeukdjG+xJXKHU3VsSzaPjfgPlKpSem5SXRPzK1tMZLymJmMF1T4sSYsY9QVYLvmJU88zi+/
++sk85Zojl7wNB0GVwFc9/6mc+w838vP/8Y/4B7/9QbhyzYffnUopGIJ25gI4AzCGZd/T3PFUQ2JW
+VssiMgdSkCTpNBKLFv5NCTnNmT/m8T+K3Om5ue77lAU1V1XTCTq49a42Va4aWEZeVYXBkBAGdmKU
+n4zDYMPn7gTViI62jEHnhIxNCA5gKb2n0W0HjTCRtcveHrk0tOQEfgOoqwwYtjm4zOJApbjdigtM
+35M01enSrtSU6kp/QhPDlkJ6shd55RMl1aqgxgClYD7tiSjMOEAu8fhcjQoT5Rf/yuP4jluu47L1
+xTHChysdXx/wxu94GV/6hU/i5f/iHbA9gbWBwzWaoYFQJYwq5VErPfOLMRHP2EFiELsvy9kkgo0E
+3TCY9CjJqz2n7snzTRyz0swg0/2xVFGz20Zt5xHUE8e5ItOJEgZrJkDuTEyI9N9ACGETJHjeOPLm
+m3CqxFjNSVvccdmbloL/S1eKJdJygYvSQ1HSLiN7zZU5tGRZdSGa92IHdb2nZG2L6K1/9Ua+/+VP
++JxgVokEeNlNN/KXb/pmXvD4K2DHfaWKnOcW8aCegcA/bjQJIbQ+TcWS61m8McX867Sh1eK+egsm
+NSuCmY4X6xqd7KCTETodO7Y2W1ac+TU/KRqnaD2G6Q5Mdizffz2G6dhOmHLmlzKOJmktMdGmpp5H
+XmJaKsMSAkHSZPFwGizWLYgnRys/bjIOQNDYEmNDrO2j0X5Lx4RLoV5mVTD9tkvjPImbJkZafOzY
+sUadtcDp2Vi8vje2Z0/JeZ/y9Xnl93MdbMf0kKQ6cM2JAf/zDU/na579qD3a9tlLn/fYq3jH//ot
+vP5Fnwenx6BmlhedGkYVYyNRhQqpqpxCu/VJSf1SsHxM0pbk3/LH1SUVyR/CAMWNSBqa6yho9DtT
+Bnp3I5Da/KxibWc4on7NYmxDmsP1jvlGTcdWV856WwED4jQSpy6B6bQRBhQ0mmO0QRWxwejU/cHi
+FJ1O0PHYDhXWmF0ezMXDJcpqiK4dW8IIN7RkxDWdqVymiqXF1fekNl4PNDBTyw28dU9iZA4u9uEU
+CaNotWzOwzNisbcqkfxsrOZqz/L7I2PIcbIDZ0/y1Tdezb9//Rdz9bG1A3zGw5NOHFnjl77vlTz1
+Mf+Dv/fmdxEv32jDAyWI3NPnWRpqkG7IhhJmh6nPrUWLCgQP1vf03JJS3qg/z8KgovoBH9F+rzGm
+YsUq4tSAW0mnJIl2pvVMw2jmI7ntMfmClb/NUDGPk0VQU1qaiKtpACMAACAASURBVNQBnY7m3Htp
+aLme7uVfCc9eyDLYV4eRigWPiu9oMwA8bihKl3P+paKItCdwtrakZ3baKOnkmN4WddubcC/JVw6M
+JML5k/CZT/OyJ13Gf3jD8zlx5HOfWSUKAn/3NbegMfJjv/0BODLoYSppg2wbXnKsgUB2XSBJTWRM
+LN8T8TCqqnEpEJ9zGg1CcmnI1DJA6lxNjusrfbPSobaue0nCmHTqTqjJqlfmPOu8Xz7lRpv6Kwf+
+U/hZGQaUwH6tzTFUHfPUUTYYoCmFOUWs7XJouY6j3Qv7ZFZ9taTwnpbMptJMuHLHLXhGPkEknSaS
+8A4gW4wWYi6LSEwldzwgEoHRFnrHx3np51/DW/7eN3DiyPre930O0o9+y5cyCIEf+ZV3wbVH2vPK
+dsWOTJ/k6KRGaes3v9h+SMnsHDMSrdHJduOk2kqpY1W0asuqQF/9niVCjZnMgOWtigqcqaUxJKbV
+SIPNW2vCOzI8YgaBFBxf05zyE/34wilBq6Wem7NkCStJGxe+cNtpOqz/G68Sx5ikVSJPWtx8ncQo
+mwwDb1HDrJwDFt7S2tmlaNV5QXx3n9TsvliCufEIvevjfOUzHsvv/NjXc3T9s8O/6jAoAD/8mluI
+Qfi7v/YncOV6s0GJqVjGPBIOlBw0S2m7y0Cy051/F5pkfArTMVqPXbI3srhhdWnMWWK2EqZge8l4
+abIQBij8tBJzEygOZknpsGPw+tNJSUkxsIWQr+UIjWx9L1Vayc766YCWlLcttyMa09S4XDvdcv2w
+SPmaLoxhlV63tpEISazWmTLFM3qyLyYfmiZ1sYvDdYSpwkbFDScGPO/4gEetVRwfBqqBWWSmMXLX
+9oS/3Kr5wHm1dCmVwEBaYvdBknq6mCgVjM6j998Bg5pf+8GvekQzq5J+5JtexAc+eR//6f13WPqa
+vEmBjXlns+ym9EknyQgW8wq+v2lbCq/HFtSsllpbt2rLA3btcW5+1DGecvlRrr/8KINBQALUkynn
+x8qDW2Pe++AWd9x/Hs6P0Y0K1ixQOgTJOSYzB6yh2eALJC6Qk5bggp1Kc1/Kypskq5zbquC34hl5
+0+EYuXysSbzPvl/4eBwELTc0ZwbP2R8J5PS16WCddl0uYZVbDSRQqnh2yPyJCEyxP04M+dFnXsU3
+PvVyrrpsnaNHhlxxdMDRYZgxr25OlXM7U85vT9g8P+YdnzjLv/rIae643xIU6kCQ6mLYVslw/Xir
+6RQ99yCcvB8m53jfG1/Doy8/ehHP+NwiAX7l+7+Ge//hf+Kdn7jfEgzmqZBSYAv5JO+ZrB2+AZbw
+QUoNjZqHez0x6XZrDFLxhBuu4W+/+Om84gtv5NiJYxw/dpQTR9c5ttZeagpsjqacPr/F1uY2J8+c
+4y3v/Uv+t3d+GO47Y8fVHRdkYNwo5azPGqA6c0lpZFwVTLnEJLadsPObJWxOI23fwFIFjsUyKs4e
+UGgnS7v0dAmUl10e/qb3vYfx9vMvvBMkZ9c0KV7ySTotb/YY299dFDcrnU/cWmEnwmOO8OvPv4ab
+n3IVT7/24hf/p86Oef9tD/HP/+xB/ujj5yxwt5L9q42SvLCHtn7ilHj/p5GTd6JnpvzuT76Kr37B
+0y+6vfuhhzZH3H73A2yfO88n7j3Fn975IA+cH3H3+R3OTpUbTqxzzdF1nv2YK3juk67h6InjPPra
+q7jhmhOXtJ133H+GG37sN2A89sD6RkoByKBQyuQww7gKPCoMCXFCnIxgewwPbPOCF93Aj7ziJm7+
+gqfwpGsuu+j2fuRT9/OBD32M1/2X98Ft98PVx2BjaIdjqAUp56y0AWvfYOiuDu78GpuMuwxMlMqy
+pWJ1DF0siwrT6OopZizIMT5lVwhUa+/lt3/8iy/6JS+Qls6wZLz9/LnuB3uQQg5WTm5VMeMUDSaQ
+n+eDkHyrlGBi9jjy2qec4K+/8LG8/GlXHUqe/WlUPnTPJr/2x3fxix8542J8mDMAxW4n4qmOxyZR
+bZ4lDAKys0M8cy96dsTPfvNz+dFv/bJDH0wF7n7gNH/0odt5y7s/yp998n5uPzMyh81KYBiao88E
+B3EEpmILYljBZWu84rqr+bLn3MjXP+/JXP+Yqzi2dvjOrH/4wU/ysh//HbiqBOH9zD4/XUm1ORdA
+igD1nJfKpSq2tmFrwnd96efxPa9+CV9442MZHMJ5fTEq/8+f3cZv/t77+Pd//Ak4VqWI5ULSSUzW
+fBepzDKaHD8RcvaT3EJV82qv3PKXoA8RU1XqMVnCbC1NgcHwvfz2T6wYViKbFq7mZTcE67zElNr+
+t6kymf3euiTmfpCeo8BEedwVa/zHVz2Jm590OUeHhw8o1sCtd5zmje+4k7fduQNrsyC9UiOipjJP
+JsTTd8N4Gxltw2RkDokITJUrr72cO3/2tZzYODz3hToq7//YXfxff3ArP/Pu2+H0tgUdD0IBZO9C
+paSbBJtRDdURXnvz9Xzjiz+fV9x8I8fXDzcH10+9+Q/5+2/9EBxZ80gZY1jZpUFra5xU2SKsgHtH
+oZMaTp3n5c9+DG963ct57uc/kbVLcLDozmTK+/7idl79C2/h/rtPw/F1srGoF1SSBumQihCMMSU7
+UZa0PGi72diV8tSpGVJgsPbIZlhdlTDlnUIVST4sHsMkGSGUnlzpSWpyU27oYVge36e1wkT537/8
+cXzHLddz/BIwqi5Ngd/803v4jv/6KRgKMmgamw5K1fEI7v4o1egUtQyt/eKYnAY4O+F9P/9Xed5T
+rju0dr7rw3fyxt/+E/77ez9lO/xasB07YYderpu9qaGkhjtImFJAJ+uZCuwoPO4afuPVN/EtL3km
+hyVvnd2ZcPnf/jWY1oRBMPypbHGKtSsO71BA6hG6swMP7vDLP/yVvO6VL2DtovDIC6PzOxP+3Vvf
+yQ/8wjvg2hN2bHyZaK9DApZiphq4NmLXc6aZ6GdWO1yidRGtMZdhrT+SVcIPvEfGWz0YljUr7rZz
+txzuysspAn2WFIFx5FlXr/Pr3/x53HTd8Qtr+AHS7Q/t8H1v+Thvu+McrJtqIqLo5kNw6l7YPuui
+vdhCT8kDtyI/+XU38Q//+ssPpV2fvPcUP/877+Zf/95fwInK1D1wACTl+qbIrGqMTCTY8VKFL1CT
+wC4dtOF4nGcfACxV9hQGT3gM7/yOF/AlT3/cobzXH3zwk7z8H/8uHB0gUrmdxgJ/QygymtaK1DVx
+fB5OneWlz7qef/V9r+LpT3z0obRrP/ThO+7l1T/zm3z0Uydh3fvQnUrToas5JlcK3pPSMAfTNLK7
+hPrJVNOIprxosYdhwdIlrKVGwsrL3vAG6sl1s7vynM4ST0pWhkWk46780/h29dQ4Uf7a0y7jt173
+dG4oDkNYJl15dMBXP+NK9P57eNdH7oJ6Gz13P5y6h7B9rsk0URoSovLMx13BP//urzgU59C3veej
+fPEvvJ3333YfXLaWPaWb2DPHflK7VO26RISKKgxaViZS9Fy+lqPpmnICVBA3x/zb996Fbu9wy+c/
+luqAAcUnPPpKNk+d4d23n4a1dTRUloIlVKhMLBXReBv1D6c2+d5XfiH/5ge/iSdce+WBtuVC6dor
+jvNtL72Ju+76DB/6+P0w9DjBrrGpu47qmBlROng2+5zVU4LSaC59S9DiJe/mI3/wK4f0anvSUhlW
+eNn3vEHq6XWOUPn/QosBZclCxEV2P9bKP7ZLhvyh5SrhIDsC25Hvfc5V/MtvfhrHLwHIux/aGFa8
+/Asfjzx0D+/8Hx8G3XEre3KPlvZ7n5nwT153C7c88wkH2o6o8LP/+V38jV/4Q1P91mzyVgIaa8sb
+hlIeIy9q6lNIZv4odk6gVC59+Zikk4jzvQEJkq0lybkxBEXjhHd+8B7+/BP38NJnP57jB4jPBYEn
+P+4qfvF3PwrHhj5dBqYmbZ1BRjvIdIzGKZza4u+86jn80+/7Ro4dMr62XzqyNuSrvuRZPHTyJO+/
+9VNwbI2WC3zpipDdDpP6l3RCV9FTzneSQFA+KTFCq1qq6m4+/AhlWMyRsLSr0pVqR4cZzUuw1tQF
+bNb8g5c+lp/7uiczWPJR2/NIgJfedCPXnQi89Y89BXAGT1OQdoBpZO1xl/Pm7/6KA7VMnd+Z8Lp/
+9lZ+8b99CK5Zd9c0m6w6nRRWqeT5b2MWxLJc5jAOPM6gqpBqYAtAoDlkhEYqDs7E8ic0DG1jyG33
+nuef/I/b+IZnXcdjrji4LAFXnTjCmk74g4+dRKgJO2fQrVPGqJL+dP8W/+xvfhlv/M6vWvbp7HNp
+UAW+5oXPQmTK//tHH4PjPYw99X3e+NIP2kjHyTdLmKMKNi4gxrD+cGkMa+npZaTzv9xxpYTVuav/
+Ay3Gl/6cKN/1nKv4+1/5pEN8k4Oj7/yaL+afv/5FcGacJ5jhLL6QN2t+51tfyPrw4PaazdGE1/zM
+W/itP/s0XHWMfHJxrPP5eX1jkRzBs5uSetqfyQ4yGWVGJ4kZuSglMkDCAAlDCGv2qdYgDD1NS7A2
+HFmH7chNP/M2br39/gN7X4Bv/yvPhs/cjZ55EHa2TaUSy2Glp0f8o79xC9/zTV92oM88LHrjX/8q
+vvPrngObk84vyfKnHd+yXahzTJiRrzFtTn9eFi2VYWmRXiaKZVlQ9zuaVQubvDxtXmb3q0RUahDN
+ZXQa+eYbjvEvXv2UZb7mvmggwt/6hi/h1S96EozGnuPbQewY4egar3zhwTmITmPkb/3Lt/F7H/4M
+rA+RsIZUG6beJWZTUoIOcbzWP1GCf8QE4enIgrF3Ng0LSj5OYWA5n+opjMdU9YSBRiqpkGEF403k
+/Bk4/yBsPYToCEbbPPdNv8WdD5w5sPd+/FXH+K6v+DzY2TL/q9ryRMXRhC+56fH80Gu/gvWH8cEc
+XfqXf+db+Kbn3WCZVzFVPcTajCOlelh++ki6P0oDRewnoeEh0cNCwqJ0UOsxp0o6Fjw3t9DT3dIz
+qGvCaEy1eRLO3EN86FMwuZ9/+g03svFZNPEAhkH45e//WrjmMouSSFjW5pRf+rbnH5geH4E3vuVD
+/PrHFW54KuH6p1I98emEjTVCHU3y6Z77SIPTJskq50LMHAwISpApg3pCmGzC1mlk6yFk8wHi5kni
+9mnYOU3cOkW9+RDx3H3I+ZMw2iLECdQjmOzA9hnYOQUnT/L1b/oNTp3fPqC3hx/42hdCrHLcnNYK
+x4/wX3/itWwMHl445150ZG3AP/vB18AVR83aB2RwcGHSgpnp7PWHAS1dwoqIxU1Bw6xSetmk7WlF
+kyM9WTlAwgRO3YXeeSv1X74H/eQHqG//c+KnPgL/88/509d9Addd+dkZW3fF0XVu/eGvAQaoejzX
+o47y5V/01AN7xls+cC8/fes2POZ65MQ1ltjyMx+nPn0foIjE5qgp6N2dk2SF7+jq15RAVGUaIGJZ
+MTVikoz72mkV0KAWTlVP0dEOqFJ3nRdrgbU1/uftJ/mhf/1W6gNaPM988nV8+/Ouh4nnex/V/PHf
++yauuWy5WTUvlK5/1BW8+ydeC3efA7Uj9FrH1mmc47elSJx6/nbffPx0arteI9S73H/paPmiRzZr
+l9ZAt0CFkM9Wa8wUBiBWky34zO3o3R+Bc/fDZButRygRdmp+/NtfwBc//UmX/n0OkG668bH8i9fc
+DJtTmA74X256Ek+69vIDqfu2+7Z4zdvvgrWKELfhvjuIn/4QnLwbIRDFHQvrAs8orUqLUGFNBHJo
+VHGBBsDvxyUlubKIwNEhv/bOj/Fb//0DF/XuiQLw6pffDGd24NyYn/mWF3DLs598IHUvi17wzBv5
+se96MWx18azdqUCQZ5yCBDEwPtbz/bMuES1ZJXRSMk6Vc6mjaF2TjhdXEQNqt07DvX/B5I4PwIN3
+gVQoQ/Ihk1FhIHz/a16yvBc7QHrdK54HV18OW1Ne9aKnHVi9P/C7t8N0Stg5SbzjI3DqU2g9Rau1
+ZtOoazvnT7EcSWTvKTzJU86hjwRiqMyJxLGTECHUWuTZd9eFjK+oBX9qQNMx6NUQqqG5q8iAmIJ4
+wRjgsTVe+6b/xgPndg6kH77kWTfCZcdgbZ3Xv+rFB1LnsukHv/UVsL7Ww1wMgE/nH4hG85/r24A6
+RhYRIUgz+sui5aqEgw0DdRMoEkqmlYB2C48YMoXTn4aP/xnhgTsYbk+JotkSbkBKDWe2+E/f/5U8
++vLPTrG+S5cdGfL73/1iuPIoN91w7YHU+dYPPcg7bjtLOHk7euethOnZfLhrCIF0UGimMtZT2h8y
+bOUuEGj7mPPOp3VfkphDGe5j1sRcLuGX4uBvFeDKIT//G//3gWz2V15+nB/6sqfwn3/olVxz2Wcn
+fNClR19xnN/8ga+FUx2mrrbGNP2vPKii87805m7Sav4XHsESFhJMaqqGSBgiOsifwIDAEKEijMbU
+991B/MzHgEg92GAaXMWw+AJ7lYnwwmdcx8tvPtw0KwqcH005uzPh/GjK9JDH8MuecyM/99XP4LJj
+F3/46flx5B/90d1w8sPoA/cAA6KngDYm4jhF6wi2Qk1XnLmZQ2jOueQ7b3LhtewH/ilOmkH95GuV
+BqiHgkGWZnjfjSp3iwgYMz1+lJ97+0e57dMX7+pQCXz1l34hX/6CZ110XbvRNCrnd8ac3R6zOZoc
+Oob95c9/Jl/0jEfDZGrOvWoHo1Jr25FIG3nBpkBzHdW245EKIWcVXA4tNzWlphNAZjtBfacN26fQ
+u29Dt09DjMTB0O7zo+mbo8gDbI55/Zc/h6sPaaf86D0ned+H7+Due05x673nODOpOT4c8LQrj/CU
+x1/Fs5/2eG6+8eBjzYZB+N6vuZmDiLd918fu5z1/+j6YPIQFvlbmOpFi+lrZ2noe6HtEw6i0waIw
+qUnceTRne01MSU2a6j2DLwVHd6+l2L5WGyIMI//n29/DP/6bX3vBfZHoZc89OFW7JAXe/7FP8+G/
++AQfu/M+PnZyh61pzWVrFTc99jKuv/7R/z95bx5uyVnc93/q7e5zzt1n3ySNNEKCAWFWIcBxBBLE
+xsZbYkxijGM7CcTGjh2WxDbw8xMSHDsOITgO8cIWVttgzG4HLDCYVRLGYtG+LzOafbkz995zTne/
+lT+q3j5971ytczVH+VHPc2a59yx9ut+ut+pb3/oWlzzpQh571tqPYNs0N8XLXnAxV7/547C+1xwP
+GhsgXlM1MPWqttxoEzEvk9kZRV7jsjFr6TpfKlH/XT4pdZCH/jHqO7+JDBchmM5PI/uhI5AQfymh
+w08858lrfpRXXn83r/nglXzpK3dab12nsNQkyZwqUN4OS1fB2ev4q599Jt9/8WPWNHydWgPZ40Gt
+vPMvPg+LhwiFz9RrAO+UWzMaKcUoLUCWD25oZkI6/jjqChmpX9JEwH5DBJNt0UYnKDk023rIMkRj
+o6KJpy2jzhC/9uUApeZ3/vpb/KsffhaPeQRu+NOxWuHTX/02L/wfn4RbD8JMgIkJ6E4ANVQ1f1aW
+piO2v8+lP/IE/uvPfj+XPGHXmh7Hiy5/Bi//3U96JugbyTIcSpvG+tH5TRsPNGu72XDG77DG2/z8
+/Fe8TOLgLE0NtRotAyAi/Xni/lvJF44TQzHCtBK51JnTjTRGGfmNH/4efvBZT3ho1JP7scVByave
+cQUvf+dXuWu+bztVNzet9gxLVfIM8hy6hfVzlTXv/9Lt3HH3AZ7ymC2sn3r0TK656c59/NL7vgQd
+kwRWaOGGnp4jTTqYes+Sw2q6/aU9WNbZ4emcr+xQaPCrsMIFptcruIKmaESq0voWiVYESGsjVvb/
+uh59zsKQ3TvmeMbuc8/A2XtwduveQ/zKWz7Eb7z3S0AFcx2Y7BImJhBXmtXM189EDpt63HnvUd7+
+ya9z/PhRLn3KhRRrxAHrdgr6i8f48rV7TDxxBZDejKpfUZ0HRjiy416NjwvZHm74wndna47txslr
+20nTxXnqe64n3vktZPE4dZEbcMIoupIEwIbWbjCMXH7xY9es72t+ccBP/u4n+Z9X3AJTBaHnfXFt
+mkWiXSTadybQzWCuy3u+tZ/L3/LX3LRv7djZp2ufuOoG6A9GgHdDFWk7J02Di4lBiL5wQxipo6pI
+87v2c1ZF2cGdlSKxNDJoNUCqfjN6XWJpj7qN7SRFqjZpuH1TKUx1eMXHrj4DZ+7B2Y13H+B7/793
+8YErb4WZYrQuQm6Vznpo36+ul2N23RxmCt7y0a/z4je8i/nFtamABoHnX3IRLFmXwSlXp8WrO+Wq
+LRt19uix8fOwokESQUHmDxD23YycPILGmkgFYlM+QlADhB0dSePoY1ajVLA05CmPWxv1gkEVedl7
+/56/PJgRdp1H2Lgd7c7QDFkJpjSwTB0iL6CYhN4csm47YftO7mAjj3v7dRxcGu/wyWS//qm/NxE+
+iTTqD+Cz70w217xEDuTmtdRSuaju5PLCZXiz5Y+Q28+b/kB/XlbYHIfhAOraFJQ1WkqpNYi1Z6m3
+ICVXGuMokmrPDLXgOph2VRDYc5xr7z545k/mCtt/fIHdv/qHHDhwHHoCWiIIIevalJp64DOilEBF
+IBIaSoFHlzM5n/rqTbz8TR9gUK7Nmnny43fBUoWiRIGokejXWpMYpkZijP67ithEtTVBxO7NaDSW
+cTux8WJYvtOHuk88tp9w7DAwHOEq0jE2dBRCZjPZtKpsZyg60C0IkhOHFTyxy6Y1Sr/edMVdfPAu
+QTbv8BsKpBrCYKnBcawIABCg0yNMziFZgYaASmYXHYGh8roPXctbX/okijG2/d+y/xgcH8B05kNj
+U3QVrIAR3DnFmhB8AnDzanXn5ukDo8mPjTVzGlOqrkBEqxKp+gSiOT2V0Qgp3wBG7yQNXtX+Kd5H
+mUZricujWCeQcucd93DROePDsYZVza/9/p/D0sAka7wXNuFwsTaeWgiBWEUfG9/GheyfgqCzPf7s
+09/mKed9hl//5z902se2ZXYSLtoBh483o86WpeYiBBcjV11OZRG/lo+mWGu8k5+1JNRD4vwR5OQR
+olSMptEGU0+c2YjMbEOyjBAC1YljQEWY2UAspgCFQcV/+L614SjdsG+B11910LSgdNQ4qlkXJruj
+EnCvPflFnPiIa0bViNZI/wT1/FHedt1+fvKiKf7R0y9Yk2N8OHbH3fs8WjKnuoxrlaatiEAWzPe0
+Kxqtqt59VXVHmVqwCK4eIi5Nk6qGo6ekViz85g4jHMVB9uZGDokbFuzGRy2aA7QeQqj52vV38oP/
+8Kmr1TTPiF1x9XW8+4rrYEPPbvQQrEug6Fr0qbURZGsFLW2TiD6lxlVYE76NKmye5jc+/DX+8XOf
+zuN2nn7V+fXPuYg3/ukXkdzwxuhDUaUB4BPVIaCxahVZFKh9/J0XQjSO1XmNNyXsn0SO3QPH9kFV
+0or5be7e7CbYsgud3UA9OUfsTiMbdxA37aTuzRo2IBlozqU710YN8j1X74dhPSqMpF8s0w7yiKN5
+jPYsRJHqBHH/Hei+mwnz90Ix5I8/ddUjzte6Pzt25KSlZ8GGEgBIlpmsS9ahmURMwl9HeJGEJLa3
+wiVI8+TmRyrRMKphnzhcIrR7z9pOMAG+qVLZ7AT+97JztfLnfg1UoQh8+MZ7Kavx9LgNo/K2j3/Z
+qsetQwyZCRhqwlsFT7kjy/EhOymqiTaARbtLJe/7zJVrcozPffw5DY41KnrYIyXh7X3ILqsB7g31
+4VFi43VY99xBffwQhIhmlkaIWAsH05uRjTvJ8gKprQFTibYPRLG2ESq7QfKazbOnrwg5jMpvf/GA
+z2u7LxD51IfXLpE4hGMHiHfcBCf2EWNFDAGKLn9+w2FuuGP/aR/jw7Go8NU7DkFmsi5allCWSF3b
++dbapIGrCgZ9k4QZnESqgWeNag4NcW2rzPhbVQX9BWSw6BFDIBANSCdCrA0bSSGb2ugqarVp2kpz
+M6MRjTXNRC0iSG2vqdU11y0gibUSa0WyAooO191wcNS+c4btxtvu4aPf2muV18YPuCRQrBC1qdDU
+FWlKTxOxJn3+ZI0jizCV88Y3f46yPv3vtWXjOijy5el2yhwExxAjsY6MSL6p5MEobRdZ3kw9Bhtr
+Sijlcffxtmh9qC7anYYNOwidYvR78N2rOXse8VTQFYre6TusK+86ARKRB3VRRlFFDBCWTqCH98LS
+cUQUbetIiUK/4vo79vHEXWd+iEEdI3972x6ol6C0SEoUtDY6CKqN0wUhkpsDKUuoS2JWIHnPUpmE
+XsUShkujFCEO0VJQzSw6dseTeHYNWbQZJ4W9n5eqmr7RxtK/W3pOwV/vWItGj+72zrM0KOl2zryM
+8bduvhuGJfQ6NBhfijxjjaiYM1VG0WiklWb7G7U5TunvSeWqG+/kH5wmP6vT68G6HlSlORzHANvR
+UzNxqqn2+sQqP8YmAhsfDAuMO8LKO15psxCarItMbyVsOR+ZmMUkZe4vuglABkVnTbgrew+cbE0G
+HgGUNiwh83FjlsdLuUAoFwjDBcL8PuK+W5GlY4bPaOb8pFba2Mv52HV7T/sYH46pKl+f7zc0EFuX
+aa8NiOSeXlubDmrVK6kj1CVhsIgsHUcWjyJLx8iGCybQp3GUNsaa4FFE46BaaZ5NcqGlvuGJSIxQ
+qytZtiSw2xywNizf4nc1A0mKwLE1ogI8VPvINbeb/r07UvU6IITUH04iqYk0MUtDKRDV0YPlq5vJ
+nD17Tr/9qCh86lGDDY4iLEmkUefUBQlOMmUUWbm3Eq/oj9PGC7pPbUAWjkBvijizATo9NLOdnOje
+veES3NebBC7IAp01EOkrF4fOXh9l+oQMjTUMFwn1wKapVCU6XETKRbsRqxJUiZJZ5JIiwPSXAlnG
+R/bMn/YxPhxTVcMwshTRYM535W4pK44ZgToSM7F0RpVMhCpGmr1Ooj8/jFI58DvSn6ceDSfiZCqP
+q5oKRMhQHTmy0eFIq6VxhKcYpcRK9IhAL+PYwmCNztZDEJOcxwAAIABJREFUsw/ffdindOD4lACZ
+pV/Bm7hbXzednyZiuY8RdwBkwnBh4bSPsSgKdvVybj+5aBOChCaK05g8Jx5JpbWvrchKTNlB0zUd
+n42X1rD5PJjbYoBu3rGLfD/9haubMhXCmoyDUif0idqFNOb1CegfR/sn0bI0ekOsSUoDdm+vrLq1
+kGWR5oZerMYUTys2aTnl3aeSEponjlp1zCS08gAxDMrewe/CJshqT1AGVFpYvKUaCRURCVZCl9CQ
+qUcmo9e0/jZdLCE6K95EAN0BCgzXiLf0kG1QNdc7y3JiHixaTGlf0hBrrQkJ0khf24/aoi06Oh+K
+j1Q7PctDYCbzCLaVktthRKvCrlwRKo4ZN1fA4IAx62GNF8MqejSi982NAKPF+mDeBA7WkbI6fc8f
+6gXYfzs6YfpMoiW6eHK068R61CdH+7Z3XCWkHcsWh4RgbTsAWvPEuTG16Qgw2TEeVqtRuW2m0rD8
+HFoJPOlYGdi9vMKlHmF5yuwbzmgHF+f2qOFVCqpCICMlQHbzspwDtOwgEmYlhlm1HWpdWRVuMGRq
+DTDMh2PnzE5w98GjoErdsPF9cnSdnKift5CN5JTExPC0mQ0IqQLanIu6QvLTv0WHVcX+YTXad1J0
+5Z8TNZKG3I7MnZMkSC6t+fHa+JnuwP3jVA/0gL01lGugm9ubzOHYAfTEITh+ADl2AAaG1wi1XdRT
++uhaC86AFcRbWUKbBhDh8nM2nPYxPhwTESYn7YY2lnhoFbYbiIVl5zVhUw0Bsmk9Xv7mGpeB5aqJ
+te6yw63fpUUfq6r1c2kBJe2H/0xMcVRFTnm/JjxbLJmdHM9m8IO7NkNZI1lGlo1wojR9eXRqg68d
+gzsaEL4p17XxIrc60ptt8/0enpWNw/Ir6HhZ2olWvasUo7P4e8RoxaiwBg70dOxR4rAeqkWb0iu+
+gw1LhsPTTwmeeP42OHTSy821aZN7Od/giQyyAnX+10iMLvfWlA50J9CiS8wy6qzVulIKP/nks0/7
+GB+OiQjP3TINIt5+EZf1BoLHhNp6NFU+cwoa46qYl0iHIIU1Kas7InX3FpwGQW6l1AT6ZopkimpN
+rGt/b7GCR5FDYWPAouYEgk0srlK1UJYLPWYBOhkbpk9fK+zh2Eue/XhYqKy1KXQh65DV0SpyHsEE
+hKBKiJaHqbfDNBXPuvRzUY7SagSODHnSY8877WMsB6WNAAu2kZJlxDAiASvBOGKAUhOjHUcUiL5Z
+EIK39ow3xhqzw1q5qz7YBxZOx2jTVU4uMVw6fdD1cTs2wDnTUHoFrEUUlcQOB7u50qy99PMU2rdx
+iRRhRSXfOME5Ozad9jE+HCuywHN2bYFh1TgTdRkX0FETbDMCp/VwXCvJvCzzWtr8sYqthpKN9nD1
+Vhscm5IgFpGmcy4goiOHdl+fExUu2Eg+pp1/59nbYPuMY1JDZDjwaERGcB6kmiiqalW4xO5vzok2
+U5ctCgMu2sqFa7BmBktLcNSmDTVncRlEaOc8rpKWh0TFGLOjSjZ2tQZUbXpwo3RZo7Gy/zd1VF/k
+KEpNAwPWJVldQX/A7YdOv5oC8J6X/gNYqEcXKAvQm4Tp9cjMJkLR85DZmq/tYQRML13Z8TWcIYVh
+5BVP2s65m08/vH+4tnv7ehiMwF+Ndh6TGiUOsZ5aXPcFK6E5JyLW22mppTXMGpcuG72/AtEBaH+b
+FFWIZCZl4/117QG6wbEfrUq0qrA7OOVLbskLRIVhzfMes8WB4zNvu7Zv5BXPeIylhY7HNtSF9M1S
+CuaRqqo2abkghJARSFQYZ/4fH/C+f/n8NTnGW/cearBAja21nfZWaP1eHdLICeJYo1NzxAtR47Tx
+OizHhjTWxKpEa1ug4tULTSd4tEJtQQgWXlcVNQrdwEduPrwmh/TDlz6ZbWevswirM4Fsfgxh++Nh
+3Q6Y2QSTc1AUxhye3oTObIJ1Z6ET602hoNdDii5BMyshO0j8yz/81DU5vodr6zZvHDkTASvv+Xlt
+gPGWnvfKVqRWdGuBljakT1Ia05Z+aW/hjbaS/V+9EpykhVLkEWtbB2nHt7WgTQ9bYzGOKrL9mhc/
+ZRdFNr6l/G9efDkMQcvKv7k2RMykcd8Qnh3fiun/KQqTFIUJlDVnnbeRFz7nGWtyfB/9u5thuqC5
+Ls1eJE0k2H4sO56oDebmq2OsNlaHpXVJjLVdvJBBKJCQm0evK6+ytG6qlHNXte2+Se+72+E91x5b
+k2NaP9nl/b/4gzCzC87aDXNb0KxrTO9iAmY2o5seQ9j6WNh4Lmw4F5nbSli/lbD5XMKm85HN5xM3
+nw3dKTha8/6fu4QLt69Nr+PDtSdfeLbzhTwFdH6U4v1umGa3NBHV6CFNczRADb7JLCu5ywiWt1Ap
+IJlVxRpNq/R+IUeyHCQ3PNBxHOsnxR2lbVTGc/Rdvvms5ACt/efC88eDDSbbvXMb737VC2HvwvJ7
+X1LUGZrMS9Srbxos0lymmo45h5MV73/lT7Buqrcmx/e+K74DHZtEFGRlC5FFVY0v82OkiRYTqVUb
+kuk4bcxM99xkccXA2SR70rSJOIdF6wEahy7yVqGxNEmUPCf1rHF8iZsOnlyTw7r8SefxRz99CcSi
+AU4Vu0E0y5Gii2adJp1SIpp30M4EGjI0FNCZgd4GfvFHvocXP+cJa3Jcp2NzvYLdF241Vrng6bY2
+kVJKCFME0H6MIh1auFZctt8aLoOj96QMz4MKe03IhJCZnI1KMCmeWEE1tHFTtB1gu4LW1K6Wm1r0
+9rgxOyyAn/r+Z/GvXvQ09NgiviLsjDYN0J4Su7KuOvieuPGKGqB9cJE/fvWP8Jyn7V6T47pxz0E4
+MTBoozmWdHHcQy2D0jyaUlsTKurDbtfkcE7bxuuwmpOYfmCgY6xbEiMxWqTlE2iJVdM0qnVpDbhl
+H4Ynue6mPWt2aC+/dCdvfv7ZMB992O0q2E4TQwuN2B2OQZyseMXTz+bNP/NM8jHqYLXtt370Yjgx
+dJ8TRsfts26gTdkYPSwKC4455Q2dw2YHBqd7WDQhIWtaPPDqUsgLx6ys+te0f9TmrERSSjniAkmD
+bbVspd+qIk950k52rJ9+xM/dA1mRBf7Hq17Cy17wFLjzJFonlt7ylLk1lcDxW9+eywi3zPN7r/5R
+Xvbjz12z47ruhtugI97+kzYlRi1DqbbibUSNg9VRKjj2PLBlY3VYQTKS3LESLXKKNXjUJGWJlKVJ
+ylY1sTJdb2sqLZFyCRn0YWke6pP8zme+xXANCKTJXnnZuXzhF57AUzZ20YUKrVvs5OXfBFWnPvRr
+yAOf+OkLeeuLL6T3KCKOXPyEXbBjdtSOYSUpGokcxylSC9xyjUmfoJIA85A55mLVrlR+UMks2swK
+YpYTJbNHyKlDRi1WUhekSSmVrFGDSGYIQMtDrebz52ve/JLLHqnT9ZBtosj4o197KR//vZ8FMvTI
+AgxKo2VAC+PDNt+6IpY18d4TXHzuZr70p7/Kr/zk5Wt2PMOq5o0f+wpMZajTWUwLK11ze571fYZl
+afeyveHRsd8C4+4ljDU6TDKxCfwVa4+pTFLXn2l/tXPoWEF/ySqK9RCl5Mq/v5M79h/hsWetHX3g
+0gvW8fmXT/Ppaw/z51fv50OHhuhCtdzV18BExg9t7vK83ev42WdtZ+PUeJjX92c7t6zj31+8i9/9
+zHdY5kmTD3YcavUNdeWqtfQONQlrVYvGouTgFTB7b5MM0pgqfQKxok4R9IM15ZTo6sm7N3PRBTsf
+/HucARPgRy59Ggef/Fje/akv89krr+evbjwAR09CNyPmmTmwocLWaV78+C286AXP5geeeRGzk2uD
+WSW7be9BvvGlW+HsaU/37OfBVTd0WfuUPWHVS/IoirDG67D6Q8cvogHCUd1J1VCPNKcbcDexh+uK
+WC2gwyUkqk9ZAe0KH/zcNbz+Z9amHJxsrpfz4qdv5ceeuoU3Hlrk5Ik+3znY52i/YqaT8fgNPdbP
+9dixcZLZziMTUt227yjnbzt94P6f/9Az+d0Pfh22zzhk0cKNGoWF5SZiy0RQYmo3SWA6rncluZXr
+M0spRJUYQDTY9RkuNZUpjZVz2lI07JuVJADsPqx945wY8i9+5mlsWXf66eCgqumu0aSaZJvmpnn1
+S36Af/Xjz2Xv/kMcPXqcG/Ye5sTSkA3TEzxx52amZmc5d8dmuo9QhfNDn/4abJgkhNwd1EgwsRn+
+ktp0kuz0So/VUqXVkC1L28dh4w32fv3zV1IvXGIldkCNERy1JlSRmG4m9Zsk5dXDIQwXkFgSQsfT
+GEsb0cAtb3opj9mxcWxfa63t3qMnednvfYwPvfafMtE5vT2mjsqrf//D/N7f3GhjplyFwahOsVU1
+bJlLEodmEIEB5iJCTABko2LaMcpHd8Kee/wwLJ6EUBEU4/mEvNmMGqJEclgpLbm/Xb1WCDlH3vMa
+1s+c/tDcP/7I33DZJU/kwkfZfMPTsVvu2c+FL/s9KMQiYEYzCM0MH26uQEh41vITP2rQDnaNs+Iq
+PvKGZ57Br7LMxouwxBNWzo41lCVUA1OrLEvbyesIdbQJOXXlpDVPH0OOSqCOQ9RUnKDoQRT+16ce
+PaOf1sI+8Omv86mv3s2R46dfBc2C8MsvvhwOLEA5NCeU59CbIU5uQGY3IcUU1m6UESRH6sqkjgXS
+kAkTWy+QTg/pTECnZ4/JOVh/NtKZICwtEfqLhupqIEqGZjlR7AYZ3RriEs1hRFlo/eqUbfXoEh/6
+5R9cE2e1MCj5xJU38L6Pf/G03+vRZG/98OehqixBiRWq0aZPiUe2ap0GkhkeSYq+REbtQyImo93M
+JYys3MvOtI3VYUlpTklqtUcV0TrxQiJIBVI5iVTRcgD1EERtio6zm0fsXYXJLm/+6n6uufPIOL/a
+mtkt9x7hNX9yNRTwhe/ctSbvecH2DfyXVzwXTgzM1YcOTM7C1Hq0N4PMbkSm10Ge28LNcmIUYiiI
+eZfYnUCLgpgXaOhA3iX0ppHuFMQSPX4Aufs69Ngei3yBNQvmyxou2MKPX3bxmrzd0WMn+OSX7+A/
+vvdrfOu28QgsrrVdc9NdvOXjfweTLRw1EXRbz3PCSkN1SGmirATkYXSvfTf3EkrICRhpUGrnWWlF
+E0WpIl4hpHKiYm2yvVpXDrnIaGZa3kU2nQPbz+Mln7j70YQVPiwbVJH/+N7PQ6+AmQk+/qXr12yQ
+xS++6LnsvmA7aMfGk1WVy4nkxJAjnWlkZhu6bgvZxIxFTEUH6XSR3jRMzEF3GoquRVZZAWTQn0cO
+3U1WRVRyTsnt0ha9yvdIY7EsN3G6RFMldApGKVzz2p9aM6rIX3zxm5ArbO7xhnf9FUtr0EQ/TovA
+i/7bh6CbOTdOnH6SMEcaAnZD0G1Nh7I3iU5u1YauRV07Hea7uDXHBjjaOPIYK+tJW0YKUbSKMOxD
+ueTYlQH1GksgolmGTq8nbt4F2x6DzG5Aeh2u33uSt3x2bSKScdl7Pv113vul20yCtwj82Xf28e1b
+1oZrNtPr8PHX/lPodlAtoDeJ9iaRqTlkas509bMcAeqQQXcS8gKVwmgIGsiKDmFiGulMmhhD/yi6
+cAyNJVUjx9xO71byqlwPKwiJ9U7okBUThG4X7eSEPDf5bBE4NOADr/5RnnzB2hBFj5zs82ef/QbM
+dqGT8RdfuYnf++AVa/Le47K3/MmnufW6PdAJDSE1EUWTUoc0ulzSeo69fkT09R/p8phs3AyH8Tqs
+amjtGLVTG9oAX1SLptSm5BqvMCOEAskKpDtFmN5E2PYYwrYLkLkthO6EFTVUoFfwqs/v429vOjrO
+r/iw7eob7+Hl778S5jrLwOh3fPbba/YZF561iU/9yvdDGaA3jXS6xJBBbxqm59CpdWhvA0yuQyZn
+iD7RWbLcsBHEWmtihRw/gswfNkwsy9DUA5hs1ZXuPK6sICsKl6KB2qNqKV1+RhWO9vmtn/9efvJ5
+a5MKAnzz+tv4ys2HbEpSjLBhkt/40y/zxWtuWrPPOJP2+W/cwKvfdgWs7yUacKtrhBYZdKRV1ghz
+sEqFcBV7cANaHjkbb0o46EO/D/0lX6DRiKLVIlk1IAxLJNbEfAImNsD0JpjbQrbxbHTL+cQtFxAn
+54iZjVZXHY2PF4BMec6Hb+XOo+MZUPBwbd/xJS75rY+D1N424WlSL+OtH/82B0+u3ff5oUt28wc/
+ewmcKG0RD/tof8k2kc4UYW4TrNtGnN6ETMxBFpB6CNUS2j+OHtuDHroNTh6wiDkBtCJOovf/p4ER
+iaoSIPXyKEos+9axUA+hHhLrIaoGGuuJIf/s0gt59T//wTXtGvip93zWm4LNBKAQLn3Nu7nr0PE1
++5wzYXfsO8xlv/2nMCEgPo5eo7f+RDRlLyF5KB31CXp/Y3uD0SBWOVzR9bCaBM2ZtPFGWElHKs+Q
+PKB5Ruz0kO4MsTOFFpPo5DTZ1nPJNp8Dm85FN+6knt1mN1NQHwq6esuMhAD9yHn/7dscXCjH+VUf
+tN17bIHnv+GDUFbQcINk1CIxEXj3J7+2pp/5Cz/wZP7wJRdDsQE60zAcwMIJwsIxGBwjWzxKVg8p
+ig6hroiLh9H5fciRvTYEdzhw9nzLLAQz1CSJBfoNYc3QmQ2lyIIVW7xSaENbQ/NcPbzEv3zObt75
+up9bU67UF791K/u/eTfS0EQcdA4CPWHXK/+AO/f/vxGdHzx+kl0v/M+wOCDkmaMqJjtuvbhxtH4U
++7/L3MSo7txMLaMpXjmGpdHY8bGubfL2d7OmOxu2IyePWjNI0TGgt5hARJuTGUJAQzHKnpv82kdD
+OfC+OnFHkMzE6p7/zuv45E8/jnM2rC2beC1tz5GT/Opb/5Jr9x6HXo61KMUUr9uTJgv+3Ye/yU/9
+o6dx1sbZNfvsf/2cc9k8O8FPfOQOqI+iR+6ycfMhI/YXbOGG4I6pxpqmpeFf0Y58Wl3Qhoe0xpuL
+9SWGYCPcNQ6xHlJ/bvqeqnC4z3/6me/j3770BUyswVSkZMOq5s1//gVY1zEhQXXcJh1kJyMemucX
+/+sHeOtrfopd28Yjbf1g7O79R3jhG/43bBKQmlhD8MgoNaenKyPQiAsmUcQRCI83+odRV0FKI5vW
+nTP61Va18aaE01thw3aY2oRMWMqhIbdSedZF8i4aOozGU7QfD8a8cJsL3zrQ53nvuYFbDy4+Ul/n
+tGzvsUV+4nc+woevvRd6Gaf2oriJQF3z3s98Y82P4Z88dQtf+fnHwb23wv67YPEILBxEqz6B2iq5
+Wo6ad1bdIx54VYeQjdKP1d6jinBoiT961Qv5tZ9/IdPdtW1z+up3buejn78FPLo6RSseYKLgr67d
+w2WvfTt3HVwb6aK1tlvvOcDlr3873755L6Hr5F5pjb1/sKbqWnSrXLtHWal9vAiaCEgH6U5bw2zS
+lV52wtPfq6d99/1Qw4CkRqWGTuTmo4tc8D+u4fM3P7pC/b+7+V7OeuUHuHLPCZjoskxORTKagbEm
+YwpTGb/x0b/nG7esPW/o2bvmOPC2X+Clz30yesdJYiWQ58QgFmGFzFo0kkR0skajKmADcIMdbpDW
+sWdIMOdjEY1VB5vvGoFDA9i4jq/8wS/y8h+9lGKNlUTnFwf85jv/EtZnyzG11RztRMGdB+Y596ff
+xNe+c+uaHsfp2ue/cSMXvPRN3LLnKGGqB5IT8o5J9iT5GFjWiqOuMaetIlcjE5TAdBdHbIPxiQFv
+ckrfxTyskTNajdK28nkP5eH3jufgDbDo8xAue9cN/JdP38H84PRnvp2ODarI2/7y77j4DR8DrZFu
+m3e02jlwcx/wr//4rykfAUxh87oZ3v3an+Vv3vXLPH7HejjSxwhgy9Py+7YVm4xXOSUEgs8SbDg+
+rmPOiQEMlLe/6oWceOsv8+zTHM9+X/bHH/0Cf3v9XniwKWYngwnh2a96B2/6wGfoj2v+odv84oD/
+/ief4bJf+SNY10E6mRETpEUMFf9DVt5Vq98rNK9pa6AZtSilyo322ZhHP4+1k1Ge/0svoy7P8v89
+vEfSUreah23o6aTXFRJtoonE6DuLQl5wxR0LXHv7MS7Y1OOsdWce17phz2Fe9UdX8Nv/53ro2o3c
+7u0CLyErnLKwRCAr2HuozxO3TnLReVvX/PhE4Lztm3jpZU/jsWfPcffeQ9x79zFrUm9udsOpGqeE
+OPUkuChjarVJ0iUCkln/oThmdWIIsxO8/oefxnv+3U9w2dN301njRuRk1921jx//z38Ok/n9p66C
+R4b+nCCQw19/5Sauv+UOLjp/B1vWn3l9/quuu41fffOf8fuf+IZRF4I1n9uRpilISqJVpSaqhlcl
+Hin5sxVve4v+OsmRokfo9FAyJLfRaSFGG6OkioTuHr3pi28/41/ebawwmvzOd65kuHjJQ5IZOeVN
+bKpKUEjKDjFNWYmRoCUR59koSN5Duj3TCagVFmt+8x9u4aeetYPdW06/N+2BbP/8Eu/+P3/Hr/3F
+t2y76GRNZUaaMe9pkSWHdequLlgvJScjN//Pl3DBtnWP6HEfXxzwjWtv4XV/8RW++tkbYSozBn6R
+mxBjHrBSeVjWX6silkJG9d5QtWEYJ0s4a5b3/tz3celTd7NzyyN7/LXCZa95K1+88V475+2Vv3L5
+pZQqgc4AalPBGdZwouKNP38pP/3Dl3Le1kde+vrWew/zvz/+Bd74+38DO6egkzWFJnUlE/EGdXVp
+aVKlsP21tEalgM4kdDuEQZ/Y6RGmNxKLnkEzvSmr6lZ9AkJ9783IwTtQhgTJQYqr4l+9eWzNz+PF
+/X/nO1fKsG8OS1onOYnjpyNMEhiwYmfUEQnOR3MJNc204ToisbbXdSbsEQrnajF6zzJCpfzY49fx
+W5fvYNe2GSbztTs1tcINdx/ivV+8kf/yF9+GHtCRlqMafT8r+fv8t1Ripr3wUiRT2JxEyXnu+Vv4
+6Cufz9xEZ82O+f5s3/EFrr72Nm655S7ec83dXHP7Ydi/AN0AuYyGTkT/8iUw24Od6/n3T9zBM3af
+zRMu3MkTzjlz6ghveOcn+Q/v+yKs751azzjFYTF6QtthpWsS1eb8Hejz6l98Lj/3gmeye9c5DzrL
+fDC2OKy4/a57ed37/pqP/dV3YDZHpjrN/ZAip2b2oU81SjIyo7XT/g6RsH4HUkyY2Gh3Dtm805wW
+ECUkN+h0UyUMFoiH70GGJ4x+cvzQVfrR//Bd6rDe8NUrpawuSQTDoEoclnZiHTwMIRDzLiqBDCu9
+2kWqTaqkro3Qhu3eUg98qCcmyYvJ1Mj0JptqA6xW+rBAJkKm/NjmLpc/bj0/8j2bWT/dZXayeMhg
+33y/4uRiyWduOswV1+zj/XefsN25o3DyGOHEMaIO3f1YKlhrDpItU6WwSEuaVFDSvzNrk6E7CTHj
+Ny/bxRte9LSHdx1Ow04sDamrioWlPjfde4STS0OOL/SpozIz0WVmssu5m2bZvG6akGdM9roUZ1gy
++tNXfocXvO79MFOMoqfV7P4C/RRh4RtN+g4nB9DJeMmTzuF5lz6NF1y8m+npyYcsxhcV5heWOHr8
+BJ/4yrf53Neu5WPX7IEC6FpngYoXXZrhH6MDDnoqZaHp+/PCghAIZ10E6zYRyIhTs2heOFUlY9X7
+Qox+EmIFg0X08B1X6dte9l3qsF5/xZUsLlwiIaBFTggFsW+MZ5O1cO5H1oGQk3U6xMpSQKUysb/h
+gJDnFspGgeSwyJDelOfpoJ3p+wCL00gmi26iVLA0hP4Qali3fYJXnj/L+VtnWbdhkrM29Fg/kTPX
+K5jsZJR1ZKGM7Dsx5MD8gMOH57ln/wIfumfAVXsHQITCpvukiTRSnkCP7kP6C6CRmHftRuovIbqi
+EKAefyXWMb4oQ45m1ssXsw5ozjt+8kn8i2fteIQv2v9bduNd+9j9b//ItP9TCPRAq96rYimitwE9
+I90wE8JLb+RpWRlhqYZKeMbTd/Lip+zk7B1b2bh5A1s2zLFtwwxT3S5FHlgclBxf6HPs5CL37D/C
+sUNHuH3PQf771bdx9O/vgdnClBaKzDFZO6jUlqktQcvR13GhRHGAXDHctnWcYXIWzn0qum4LonZv
+Ra3JCEmg6RSTtHESfPK5XsXrnvFd6rBe+9kr6c9fwnAIsSbkgZhUGRDr8RIxraysA5NTBhb2B6iW
+Hp7XNm0n75p6QMJJuxPWF4cgrKIaAF7x0CZ6DiqoVmjVNwnmomdyJkMfKVbVFiVVtW2JedcwnBB8
+xHpmgVEnM1xnVa6dzVOBAFVFVg+IxYQNeYh95Mh+4oljWB7l6VXURkSPEBsHTlZYmlv0IJ+AhZzP
+vuIJXP7YRy/R8Uza/mMn2fZjvwXr8lGa2rZUwEiV1hQ1xdpv9uDKqgkbwnA4NbyxKfE3nDIdYaPD
+GvqVPYYKQ3HoobINrFdAIVYAKDJrcO9kPorNe2dd7UK19ozBixcx+qY8shAFKFFM5UKxiCwIqETo
+zcKO3eiGs5ZBIg9oklT9BcihM3mV/vpFY3NYY2W6h3wCupE4PIwMTsJACRKIHvqKXxPRkih9qEqk
+00XrCqm99w1Xqhz0keEQmZhCJ9ehWQ/ByXCjWu8ys1DYw+ZYo2VEdWhyNypIsMWiMrSdtgAtAApQ
+JWQQg0/8DbWpDXQKQtaQK1b51o7PqSJFBy16jr0B2SQ6twOpKrS/QMgLn9kYm7eSrIvmHcccxG4O
+aoQSnVae995r+fq/fCJPP2+8cxDHbfuOnuBF/+ndMGMFgUarvh1liwnaxRWb2bLZNtEhiAYHGlE2
+msGiKQVLjiDPLJrrZYj0rCKaUPwmYvJPCK3qHuK8KH9qq/o9QjgxZxaCH4qlqbFTQHcOCR3i0nEy
+amrEsND15yCbLkBm5wjkqCvLrm4PVAA7jQLZGth4Nd0HCxCHSFVa2qbBtaV9gGqdpqrYOHutF6iH
+S/Zi8SVYRyQImlkR13hXtV/M5eVxZSQFHGPdzBQEZ2CuAAAgAElEQVQ0MNXB+7rvGEXHSHbloBno
+qqq2UJwjFasB1mrSwgvyAu1NI0WPGAorNscRpyWRY00QzScE+TBN1QoyhekpyIUQOkAgxj4hadxr
+IGQ5WkcfHW7DaFVLiAVIh4vf+22+/jNP4unnPbKVt0er7T92kl95ywf58rV7YNoKESlNaszB66h6
+yr6iaY9rC0O2X5icSfNSW7Mx3U61IKEmkOYO1u5XjNYRRLzGZP172tYBa1lMa8WPRzUiKig1muWE
+YgqtlpByCWZ3oOu3E3oz6PGDsHTU3O7ULKw/m9idS+96/84qRVSaNnQfoCoQpULGzMMas8M6CUOT
+SY4a/cKK5dcrLqBdvGpUNWzmp2UQAyHvELsTMDEDWYEsuzD+PrG0qdHamigdo1eAaqRWD7cjhKGB
+4nHYOCPFe+nEIiKthxDVphgTsN642nCnKhKKLpJlvktXPvbb3ysEi5S8Fy99WwG0OwWhQ+UYL0sR
+DTaeSbU2pVa8SiR4GlEDBaHTIZaBi//gGr71S9/D9+z8/4+2/YOxowsDvu+17+CWW/c1zgp4iIGB
+jNZZemH6v2+QVK5+oIYnkk8TyiXbSLWkxqPj2gakSJowREadKbGqLLpSYaT2Ofr49jGnSmAWlJoO
+0psjzKwj9ubMqQ5PQmcWOtPUeRdZvxXmNiGdSTTvoiGQJlJp+41XNUXTtCrXgNdoxFTy8U+CGm/z
+c10SqhqthhbteDm2wQtkNOUjef3GVEFdjzoI9CYJE7No17hUo5RMQStLw1wsUBwj0Lp2HCJaBbHT
+8ZHpA7Qa2HqM7pwQ74zxY8QwBQ3R1RhrWxgR16SvTS6l6BIzMRJrXbtXsvHvWtemkpqFRhbaFktm
+ChYIEktUoimxqqB15UOGhNo5T1pXSOhCMBljO+B5nvSr7+fTr/sBvv/ix52Z6zlmu/3eQ5z/6++E
+g8dhKveb9D7wGqUptjTgdeI0YdGFpiIJQqhKtOgQN24jzGwm5h0IgkiBFF1CnhMHi3b9yqGpUExP
+I4MaWTxOPHgH2pskrtsGvRnC/CHi8X3o4rxtlg6DjI5HyCWnJkLIkWIKnZqB6fXI1EboTtiarqtW
+NOjqoVkHimCyS0GQaDTRU4aLLDsZjuf6ZGoDYAN2bwBj1sFKNlaHZUoKMsKSNFVkUihsOf0yAlxy
+WiFYFSWbIE5Mw8w6NF/JQ2pFaFXpTPK0WZoQv6oSigItumhVEog2UTqmGW3RU0BZTodCzUFBK6Ww
+HRdRI6wOhy4TY44lRXBRUoQY7LtmTrZM04KD+KTkQF1apbE5D4pRPZy7pnkO3Qk073l/nxi1Y7AI
+m6b4gf/+Gd764sO84se+93Qv16Pavn797Vz+Ox+Eg/PWPB6dQLlKL2Li+Klz/hpLG6MER6QMa1Sp
+0XWb0C2PIcxtJ2YdJGmUOSIUoyL5lMNT1gqmEqAQdGozcW6LgehFx4bNTswhG7fBycPogXuQpXli
+XaYDBCCKohPTyMxW4tR6mFlnhR7xiElBCoMHyFLlMHixAEQc/RJ9gMgq8blsfYYqElPqlwoTqPm0
+9DljsvE6LIJX+2pXqPRfhFFMnCoxinNNxCPx0IXeDPXUepiYQbOOBby6chfR0cJUp8QJqNg4KvMQ
+ilSeatUDK+W63pwkTaF2yN4cW+YLug2MWrgv6pXEUCHFrC3UHNOtr63CKdRWkaywCSUEo3NIYe9U
+l5iUSw5UxmZWLOUNvgN2e8jkHEE6VAJhME+cP0YoS/Ovec4vve0L3L73AG98+Y8+YjPwxml/8rmv
+85Lf/Yhps/d8SYsiktuNuMwpxQZutKh79PvmT8c0DcyKsPVc4rYLYWLGKmyxtmXWrIfgG2F7gQSb
+mSnq12nSImSEoKZ3L505dOMMUszA3pvITh6ywjcRJEMnZ5D1Z8PENNKbQrIJ0sJUjxw1rRlG6Vpz
+xyRqxoMhA3jmYHBM7bSOdD8JkrlA5nezHlYcLELlXKXoeExrQnCT0otXR1LaVHQI0+tgZr21GYRi
+FXWHkUnugrHB6Q0pWomWtsVYeuuIWrXN6RLpotPCntqmKeQ6BZilaZFQQDpdc6qpxKMe4XkPVxZ9
+qEZl6WishlBYqiidDiHkoJPOQatsl52YhTzHWnSEWoeEk8ehXCKLA2IcwnDJFDxnAm/65Dd50xdv
+4arffDHP2L3zNK7ao8fuPTLP69/+Cd754ath2yRIZqk3oCEj1EPqFFMnRYaYKAOhSQWXdVKA44GR
+GDJk7ixky/nIxLSTlmFltL0CcnL0ogXma2yqjk3ah0XwKgpT62DdFuJgwY4lD4TuNKzbCpMbAEv1
+Lc5vAV2y7FNPOZ5Vjuw+TGnUX0UdjrWfSchaMUCNxsEDvNcja+PFsMr+yHk0AYoaELlM9M2cVhBb
+iDIxg/am0JDb2O0kMHYfpuq7kTfgikRCvQRVHy37DQ6Q2hGS2mITndV1OrjRAYH9vM3jASwWTylu
+JEhOrCpCDKSpMCo+Ey7tkllhV6InxP4JqPo2EVszNO8SswzRjsnk1EO02zXgFyVKRSiX0EN7rIok
+Ae0vWHXTiwshy4hz01DWXPKbf8rv/7Nn8/MvfBZTa6wzdaZMgc9942Z+4X99nFvuPgjnzHnHA8T1
+5xE2nmWjyfonkT03oNXAqCqxRkNBEha0SEdazqS97gLSm0Y27YSJOUv5RHhoAiftdXEfUY4CFOi6
+s6zCV/u9UHSIRceccJYjFA/xsx+ahSA2wdurgoQwqmoHm9ZtsMUDu79H0sbrsLS2NA9aXBbAWbiN
+TC4RqSIxK9CJWZjZikzO2ImkYLkzOdWkoTf43laVaJVSszhyUCJAacB54t7EetRQmlozEi6iI0xM
+EFQsZW0iMwWpLa1QAwD8dZ5KNt8Xf5cSul2r9A0WzelUAzTr2iJKkYFkqAakjrB0HJ0/iCwcJRaF
+FRLqyiJEjyqiQkDRwrCZf/OOv+UjV9/CG376Mr7vieed1iU807bnWJ+3/s3d/Panvw0nc6SXeWSc
+o71JsrMeSywmgQBTm2B6I9KfR+YPowtHCBvORoseWg3R/glksISWSyT8Uau+/Xt6K6zfDjNzlr6t
+7M97QPO7W3xtNDR1+2O09VnllyxDJ2eRLLfliFqFWe27jXb0R8K0iRwlBLR0x5WOrfbnREE4M/2q
+92VjrhIaS8Xkjj2EDplHQrgUBhBzYi4wuwmZ2wKdSVRyfy0s3710xaUd/c/jmwbYJuRoJgStbRcG
+29EkjigIPiFX66pJVYMfXySgeY7kOXUdffpPDQSkKJAg1Fluo8K1fZgrIrXWj8W5Oll30hZu2YcQ
+iZmJ5mmmSB0J1Un06L1kxw8S49B8fF0SJFCn9DVhgcvIkQLrenzutkN87jXv52UvuIhf+cffyxPP
+3fLQr98ZtMP9mg9++R5eccUeI2WevQOZztF7h7BwlEAX3bILzbp2Y4ljMsUkdKZgdgeiFRoKxG9G
+tIZqYMUQgbhwEBbmLRWa24pMrIcsYzSLb/k6eyBT3+S0jiNntzKjayrZDn0oBO95jVqv+MwHgUWt
+elwrU8NTU0V1EF+b7MJ+n6nBEFGV4HMiv3sjLLBQs1ZjHGcF5B3IhJDPoFoTqyUyAtKbgbnNaKfb
+6ESl+Gtk1muXIi4DCWunMyiS205pbOJgE4sDiA6c1a6Q9wj1EB0soTpwwN1wp9CdIHZ6aD4BqmTd
+GeqOteaEOvWa2QVVsYfUTnFQA9AbmkYEyTosX8HJpUbjafUm7XwE60UEoMpQLZFje+HwnWhWmINX
+INbU1Cwb+Z4aX0PuhQOv8hQ5bOnwtq/extv+9hZ+/tILeOWPPpPH79rOGgpVnLbdfbTPx755kH/z
+hXuttaVnjcBEYHYbYXIOjh9Ch4swvc2iofaNCK0b0KqHyzazrGeBrwghbAXvtNBuD81khY5i6z8S
+Wd05+MfFtIFFEgeKBDf4R7cB8aCChkAMlnOFkFlRZ7XPvh8TUXN0zqEymCNVs2vvsnChSLH10BSU
+mvUx2uQjuIQ0xFgi+XcxcdRwmAItfKRQ3vOeuRxmN1qEMOwTi0ljsudFgwP5O7DahTSipgGnWlaj
+fsMY7DMjTXUoBoEiR6K3aQQhqg34DNEVqgSk6KHrt9uk42A3RZSiOQLxse4NvJqA3DxvjjRZELF0
+9pRDb5H2gk8+LlxSJGliOeuYskJCIErrpgoO6Dcpqy/aVhWsfbMKQDdDO4F3feVW3nXlHfzC08/m
+nzzvqTx79zlMnyG5mpU2qJW7jizx/q/u43evP8bS/NB77ULrPLpzL6Zg46SLMEhDsjzVVuJJKzBJ
+VeOwZR0jXGZdVrlAzfOXtXWttOgUmojDDRUJ/Bm9pf8j66yKvy7/5HZEdB/RUqJCqItUpohJnMuH
+QBaWvYPUcbTeVtukov8+BMNr6wFaj3c3GzMPq2c3DGrTaLMu0ukRe1PEomuOIsvRbNJvYFiRW5FE
+79SB+RhrgkZjJDsTOdYJQB94ldZvYMeb1CfAiALlABksQd0n5jawVbIc8g6xO2lORL03TKM7C4tm
+7N/OIWuOMJ3iFbt+c/xWBFAH+INHdKrW0iFik3qbgpaA1iUy7KOaG+4i6iTWwr+X5RtJYSBJ3koI
+owkxou7PzKFpLwdV/vDqO/nDK26Cczfwv/7R49n92J38w+/ZdUairhsOLfHNWw/zjm8d4a9vPWFO
+Ks9cOno18whazPkLy9cGgGKkYa0dTE5wg1iLiz0nmKCmZEhn2oZTSPD3s4hDGv1OWhQXGXkgMWgh
+pgGlMUKdWrFi81oJ9n6m+ODkYVFT32h8UYrCK2/hckeTKnmuTJvUZqgrZLho/+52qSX37+nYq0Co
+bbaBhsKoRJ6BkIVGr92qlhkSUpvawAmpEGIJ1dCc+hhtvLSG6XWmiuDTgmMoCN0JpOhZk2+6tZ3O
+cOruosQ42iFUBNL8tHSjpgVR14QQiZWH6M6fwqMdUUHLChkegRjQzgSyfofhHxgDWJrev1GsotI+
+npGt7p5OfZb6ezfpmjrbOH2MgNYWOVibZR/6i2gsTRHCx7irQsiC02n8hpLWDUVyYBiY62VrSA7M
+z20ng63TsNDnFX/6dRheCbHmJ577WF7+fbvZvnkdGzbMcdb66Qd1je/LTpSRvUf7HDvW5+M3H+M/
+X3MAjvXNWRQBpkY45v1Zm72trT+TJWkYicZ9MzWDYFUwx/hEa2sir/t27Sm8z9TeT4BAtHUlntZF
+XwvuuCQYETidchvqW+OI9Sjdb1Epmh5UjRYhaiDGAOI9g5Ib1SV9lxAIWYYS4eQR2HMDevQewuJh
+YqVOpu4ikxtgbithdhPSmyFOzqF5YU4U7PVJMQSHD1KFXLC2MnTUrZFUfOsKOt/FDks2nA3loi2o
+kDtPynZBbepvlpcvI/+hhiGk0BdGYbV3vzds+RyretRDE+irvdWgnSAJnkpkSGcD2usivTlT9axb
+Mh6S5IxX7OKJeRxLmoXgN0Pwnbnpa2x8rjLicUmDd9jO6scYGe26MVqUECu07Nux5bljEq5VFG0E
+lyhEDPwHbSIuvPfQvoKdO20WrJ27BhwOApMdmARixYevvp0Pf/5G6OU8fus0z942w8bN69m2eY5d
+29Zz/tZ1rJvsMtHteJpj6WlZR+YHNffMl9x6uM89RwccO7LE7fMlf3m8goXKMKSshh6I1D7dJfhQ
+VaWJncRE5mId7cZNN39IJEpP0yQgUUabQNMjav9W8QGurj2Pem9fPTCVj9RontZJVGqfDZA2AJOf
+UesuUEU1+NOlCb5wxjmJRd4Csw0+GoH5UUuIAchAslFaj7jzFCiHxAP3wKE7iUfvJSwdd8wtG13D
+YYUM9sGxvTblKO8iE9OEmQ0ws8Uqp71ZG1ys0a95HK3rzFuEyqELEiiqVYOJjdvG2/xcTGCsWr9x
+mqZnWn/jN3l75/R+wzoiedKy9r5Dqwmbo5Bou0Q1tHA2vUVKD/x1UENRIHPbkKzTtOKkG2I57LAK
+bqYR4hBp+rqS44IoGSHLMI0id2I+vj16CqMNzjQS6xNvdA4e/WiWI7Emitg4p+4MuBaASCDkXYu+
+gDgYQGWUSW365XyxLXO42vrZ6G9pvrs/V4LJH3dMi+n6Iwtcf+AEVPdY61EZTf9paQDnXACPfYK9
+xqEbD1GsSTvQRLUI0HN18qpGUrStSupls3qH2vvleePcYwyE5qbOnO7hJZdYeRVQ0XqIVGoDW6Ot
+GUTQSmwimYpdD1XfNAb2tTOxz4rqjsWdS2ydK0mpZaoaa/NzEqhN69HC19qRlhHIxFNJ18BHMX0l
+EwPIFg4S77wGPXK3bb6SW69gtI0uOLHUqo8BE9yzjVrnDxOPHwK52Ta0qTlkZiNMb4eZjdDpuu6X
+8a0ol9BygFYRa8NoHeeYbbwRlpeerXUmAaqrnZTWImggg0Soaz3Hfy91ZdiAB2KkFCBRDkI+SrEQ
+wvQ6tJjythhrAUq37oOyaIROk3vBOD6Ju5Xnrmibk+SNNQRnEJuTEsm8PzBlgcFvlCHRIzwpjCwa
+NIesg+ZKyHOIpa314Hw0tRmMhj+4sgCMHFATkUIzMVt8t20c9HIgN3HKQFwJ1iPAHLTnhMaokK8n
+nH0usdc1PGcZSL6a+edp9GuUW1pWlR6geZTto8C0LkdFl4jNi1EFMhvRHrImQk3a5qKVOaloDfbi
+58JUqD268rUjTmI2Rc8cyEDFoqlo6V1TBQ7mJG0vTZsCI0cGjIiefl1Im0FsNfvTRKPpJcGjXqVC
+yZD5A9Q3/C0yWLRoXvJmjZBI0X69Gh/Z2leDk5lVK6IEwuI8nDhG0Juoix5hahaZ3kic24Z0JyEv
+iHVEkkimehFLxk80Hm+E5T1LlqbFVg/hChPDeGzXdNwpXagm2HFnFXJiqCwNyHKk20GqEvpq5FMH
+MMP0BsgmLNLJs2YGRjtsf6gWUUK0C63pZqqGDX6Rxn3bGjACqM3lK81ZBXMcMSoSa7vpRawUP+yj
+eYYGRYsc60N0JxmwqmOWW0+kdDytWJ7GpNPUfD1PE0nOtbkwaVdIN3jCYVzNUpNahZCRETF+WNiy
+C6bWNSnMAzN2YpOaSghochJp+G1St6jT3edYETSdAgZyl8TKfmfRXHAnpYgY9hQ0um5YIgGrF3JG
+zlpDZqsjRrRK02gyQ3ditD5QMjTz6I0Apbr0shBE7W3r2vXVvdsBi+Sac+vXt3FYSVXWMwlVk1rW
+LCMMF9Db/x4GR4lZz85BpKV2agWJ6FNPNXEXtX2p03VI69w2ASU3Zzx/hHjsALL3JpNnmt2ATG0w
+Imvo2fPzjIYuM0YbOw8LbwNo5tat/iS7aWtLGSQrjAKxzHy3DjlSTCB5x/g0vsAz6aK5mIRMb8bE
+972fzBo6H8qFSAvgVFwq+vsFdNTqkGXeMZ8+x2/mODTHq1gvoWNhIsGitLr09MR0k3RQoiGYTLSm
+yKiyaKFOgL0aUOsTfJtz3D52xW7KkDkvTWk04xNPDLGbQloeLkbvSgDpTFjqoaCdLmFyPTqXyKcP
+9lyeml6HPHfMpLbCQAK30/dQC+0sQqmWtXU1UUb6DhqJ6hr4Hv0Ete8VXaOsqdQBZAUxLwh+DWNV
+oVKipUmuaCzRKIBVjZuMOipQmaNJuGqqDvq51Hb7lrgSaFLfUG/nioBW3mKqyKBC770RXTwM2aQr
+QKx+fsVbaVbDWEdmK3B53GvX3fb/ElmcJy4dI3AXOjGLzG0hzGykTsqone7D2MrXzsas1gA2vnx5
+60xagamqhailebG0G7McQjFEiwkkayeFrqCAp1sSbfFJTj05RQhT5CEQJfc2g7js8+77KJcfF1ot
+r6ylVMtJd177a16n0SqVZF1wpjWIZRxJQDBWrmyKN4HXBv6KQunpTR2NBJvSOCBVeKj69nFlBeWC
+5cJZGIGlqSjRnbD5c/0lKBedDhEIwyU7dk+bzfm50GFy7J0JKKbQTg9m16O9Wa+eYny2kHb++zuf
+7fMa0KoixNLIjn7Piugo4l3RvxfrSKC0kVSJlR1bjktayhnqKXhMQa6X7jU2lI9I0n/CU6rMcMJo
+7WAq+Gbg1bpQQG8CyA0n7HaBaHSYyt8zmKqBqW1Y1NU4kjRVwsmdiXGfiMaoJRuKwp7vwP57kCwb
+neNo/Y/t4RIiwWcCRDQbKeKmpTkqXaafaTNGThqopEbJUDGXEAEWT8DJox7RZ3YceSfVPcdiY46w
+Wjn+MrObPcTShlJIRKsh+I6qdW2j04dLaNa1ipG3yIzwgBQRiFdv7WLUyz5jtX+vtJXP01ElLw79
+1+nmSWRQ3+WDvTYEcax25MTQ2mcp+r+HizQSo0GdG5OKC5X1FNbexjTKXwFr2REJaOaL1qtSkk8i
+0xuI3Rmk0yWEjJgXaFaY5HK5CFlORqDefxssHHH8rkXdUIywOzELs1sJU7MQCmoRz77SEkpp5YNx
+Vm6xhHLJpKbrEXNctfaIpMmfl78MSJI/TW9ljEhMeJ3Td6V1k+IyRhGvxjmgbFfI3zipzVqV2ugl
+juOArTNJqrOOISaQXRnNklRvAKuGNNSHJGOT+fqsxauULAuIDCOrkMVj6JF7kY40zAjS2gpeedZI
+8BTdp004qjJKxi3jTK1Z9lMRG6ln50oJIRtF/+1TLYLmrnKrNkpPHuSlfaRszM3Pq6WBClI3C0Cq
+IcoQqrops9oiicDAQOe6gCpzhrJCyAieQjbVN8nRUPmF9ZQn8VBWM/GBrIrNSExHl5Qd0w6edsvk
+yDQ6rjC68tF3eqR2QBhftNjz6gqJGcSh8cWI9posN4nmyipc9vYjxrt9ljenhgxijqo70YlpZO5s
+ZGad3dRkTT+h6TQF6M54LCjI5p1opwOLRwnlEJ2cNbZ3WRG6XWJ3FpmcG00iOoUfNSrD38fFZtTO
+kkNVWlTYTH+JhDpaeV8jIdESEhqWIhAAMoJaWtUUlhuip0WGI8zIKoamlWYOTMT19ZuqaLSiRUNb
+cdQnGMBtu4217EjWaaK1SPDXeClUHDdTaOSSfEOS5PyrdDZMvNEO3XHZBnwKsDhPqKPJ4yQByeBR
+qUdlREUzd05xxCtMkGli/Ud3dMmx1mjrs1L6HGwNhbRGrHfW5hJKo2pxymU/wzZ+DAtYtiMnrKe2
+MUqajXaYCI3CoqVH2Ly/0EGLwvrIYo3Goe12ZWVZQQjeL5Y5vck/L4HO93lY9rvorT2rm9CAx74A
+LOopWFYKjsPR91Q1bCY6sFwPfQjs0JQkPBXWvGs7fF2mdbQ8dmlFkv+3vXfrtS1L0oO+iDHnWmvv
+c7KyqrLK1ba7GroREkJAY1ogxE+Ah35APCDhB4SFEW9IgPgB4AcMzwgZGtHYr5YxQpYRNiDoBtNu
+05eyu1zXrktW3k/mue2911pzjOAhvogx5tr7nMyqrMydVq5I7dxnr8u8jDlGjLh88YXBvBFtmTzw
+/eU/DVw+RLWBBvp0zIcEg82X0Nd+CXj4FdjT92AXO+DBa5BqMHJ5myiPZetLOD3uiweU2dsKHG4I
+w+hWSctxab7IGIi2WKxDLK4x7iRiGTRvrXa9Ga3iEgDaedPTZaJGsag/LYGbGsbGPAbpZIBekdHY
+/APwKgSFB/Nb86SLVXKqVUIpjPeQQqs/Lcq4NSL2W4U9ex9pqTOgDnEoR2aOi57EHf0ZOUzGLc6A
+vawa8qLl1O+1rbrea5Q5ZOnjLvz8CwqSPhW5Z4Ul/ceau34RaG3mymjawdoFdzvGu2xxdgW3d/31
+IYMl+z1kWdwaEDiVDNxlanQZRWPfPbEI1JkaMhYTE4EB9MDh5MMzc5ck09mLFy4r2Uwz8E3YgRRg
+OdAkX0geeAD2e7cuIJD5Apg37uKWnbNVlga53gMfvAU5PPNrYicelQlWLoCHX4V88auemgavLa3Y
+lwfCg2UVFw/c0hJx1gB1CzEthJ8qORFSfeE0X4w4Hhn7irpLd/Mt6HvEM3PQcE9BJSKZSXVTYaFx
+E8+mse0ZZWAbtY4Z6YpeCKeRCVaYBRueuZh56GHjzCBmBhyOwKQZI5Xl2isVliOkHTzREpY5QwQ+
+lfzeRAKGMICGhYoljNCn76A9f4RoN2YSisnhMIElS2uKtySCgfPNt2Z3MBrWpUXIrLsrMyHzUZqE
+iNBK8t7TysU9M9bes8KKnd980gVZHoGF4ZLlpq2xkyhk3q6OFHV81tStm6JAmYCi0JnxBfCRBTL6
+jiYFyRQaO3xaIbFQ6TIkYh4M+jKTBE5YABnsHKU1SNmiTTvHoV0KFMU5mZbn3gx2+wpkInq5Ecls
+FbpTYPMQ9sYf0qpidqlsYA+/AHntT8DmTS7IrHPM6375s2hhPdHty8z5KiLy04vRVTIjarst/JsW
+VFL5cB5Id2dOgUW50MWvK91wtNtG5InFsH5d/D4ZsM65mKUAPgd0niDT7NlYNAAVuiz92gFYXaDN
+8j57927yYGUYA0O94DCvzP82KShPX0d741sevwWpjERJiU2SPS0D8Jmcqtpd6EDbx7k8Ljm4jKaM
+qZHZwRcFL4chFNKWa4kETOXmfp/21X0rLCk5DYOy2N03Uh4HwR4bMgQjaExaN2uJoYkyB5mcUWFj
+2UIpE7lC9Ldv3Ri33FwgmU2x4cf/zrhNFBVXLmZS2HiwNY5RafFRqRivY5ogmx1kmgE40E8MwGYH
+6Gs+3yBISnGY43/Ei6Gx20F+4Z+EPX8MubmB96ibgVe+DMyXDn78KFaQBE8Tkr00JnvfjX8WayqO
+z2snQNeM2KNakVzpoWxi0QwpeSddpOIQbiwyPrfGawWVwonLxRKd8RXaykgFGCUtAged5qKNxH/1
+Zgx1j070OFqDtKotspqumIRlY8nrJpyLhlTMFnFRstNKM8jhOezNP4Ydjt7VO3pWjvWS4T9GLJY8
+8Vabd18Cx1EllbRVuoJxnFBcYNyPbmJ0CfLLGlQDs4me8Ck/47b185H7BY62I3dbVwCq7vI1qD/k
+aXIAnhZg1fev7yJO2xGmgHgjUoB+PODKKCyxoqAAACAASURBVNVimt6rBUkFc3J1GHE0IiC2irEn
+MPtilu5SBolb64FtmuVWipdAzFuYOsGcRVZLDI5x8DPnHkaz3a+G1ts0wb7wVejFl2BXN8CsXtg6
+7dL6/HBLSHzcpHUFkNlVQW9A+1Gn5l2KrZEYsSKa1TozQYHsZg+6two7HvzjhU0bgn1jdE0SoxcK
+zRVSMm9UGe6bi9NCwXU1lYFtW9Kw94UdSZU05dlEhFlFHLOprzNft15ixP2txsYlrkB9KnSMWPdE
+eaYo2me9osFgj74H3DzxulprsEILaASdcl4bjCVfirqww3kTxF5j+SyZsUxlxHkZ91zHjVl93L3L
+CwJ+E1lXK+pZznuU+7WwDteeISsKkblrbyqJwK+kZRVgyAyI+u4FxDO11Xtp+oepnI0kYiEMi+PU
+cwozPpkiEJUcboGwG8pIIyIiKNOMeti7e7WbAd0AEMhmM9C/WD8PANy54Ltl5+6f8xJJUM+UCfLg
+QabgPXH9YZm6UaK4eLhxAW5bKh8moxV68s1qHm+ZXCmgzKmAckGFkcMSoyh9AcCA8KCsMljeLezx
+KvLFwRVXdgT3jQXwpA6zYYGMBwntIhgNuOvVkK5+uHkFvjE14+JG54S38X8noYQe8477G98X6OE5
+cPXIoSkIFxB5HBF1WIoRelEKrJIZVwSllHTpJaEXfpqoueS7nENuoabStyi78dhi4i1oXVluZJ9j
+tgZMSkxMmK7kLhoXqwja4QoQuD9PfxrstJNBzHZENLb0NCy8Zk+QxP6ZyAPQpp5hcRO69IlOEx8G
+pyCJjGWgpoduzZrtlsQZFMoMbBxkh2nrQD4AIlNfbz2Qcfe4iOOvvJi7x/WErkvu0uHB8Pwf3SLq
+X+7fuHP5f7hI7bWgwyES5b+Z89AZd6l0Ax2i75YMMWhBi920uWIJbEDGsjDEiChByoh+HqNLlBlh
+zW+vN6UGoPiX1cJiWgDEM1/S2AYiYS3szhNu58TPd2YPN/IcF2gDqBgSbciE5/SMqb3zfcihQqCu
+uKVftwOh3YIM6MaqD4EIoQrG9dH6Vagkcl4jzNCCzqYPw8AC2Q3ttL75KhH8n98sYWj+YAhtFZ2V
+zBAUMtq8Dizq7WCNlfV0IAzEjQisLIj6KqjjR8KFy109smcyeZmP+TVMpWCJGMMQKF3Z9aOY9W4q
+RZziFsXZR9WD/6KEadjggn6IRNPUzhwqzhbJyais5j+N53/6MlxAUKDc+RkqqgBP1gO51Cukedfs
+eovzDP5vGTnIfG7YcEyM/+KG41AAj/2IKjN3tjrsysAZrHHnV1ug5H8Sc4jMrbFOXBLxgHDLu1td
+PiYYwhGC9akBoBVBefwBcPUMKJpwjX6p/R5qdKYeY3OGBBKvMqjxGVpakTWMZyJUTD1suLYIY4Nn
+5ZhvzjYkPe5J7rc0Z2lUQMamOUe3LKbJ27pXABDY8RpaI1NBd6Atnb41Mj0GYOnWiKEBqlCd+sMB
+p8+igCzebVcEWgoWzFARZLxIgSBr8wdbGG+yvosXuJXYHLAoIk6bIwIpBtj0AjVlcPqQk50O3PW9
+otkV3oyEU3hmZ+xz95LxNW/UGu3R2hSuDyDt5/Hoxe9PAEGFHa/ROaoIMD06gFKVbuCxAnYg59UC
+a80LmMU3nFgP2qiUFTDzhMsqHjXIqidlERrtXJABQ5G1IjSllVINUC+7aaJwqMLiWCfzmsK7xtpz
+M1QGgyJV2aDZAYYGxZwYqAa3rOspKqAp6uHo5UZVfLONImO6e61WJgU8zpnwAjI+iKrTyzDYbkwA
+hUvpAX+Pr6kBrRTv7tQxFwhGjHRBI+kahpsI2thb4J7knrOE4MPwWIqVySexuZKQo9fNGQfSakXU
+jFnEoSIASjdLsLhvD6H71DxwqQOqPhgj1Z+giDk+S6Mo1ZhtA7E+R25aAkwFJozFqFOPQDwYKVqg
+mws2vQz34EVmEB3fetsyESzOFlAKMG0yQ9NaA5aDexMv4AIfj2L1ADtQiejk3PWTN/G4e+n/7BJW
+THYGtqNbzgH+VEd2C3FSEqR6Nvhbd16Px5bMT+KvnLB6pDsskVFTGBs4CI64WwZbJ/Ud4zoAMu75
+wutaH2f8pAQNy+B9dvzVybdvHsOevgW7M1niykQZrrDWcq2knUNF3GJ9wKjcgvPNM+giwhZ0p7ck
+d97iyoZlSMsTWZ/nLGHQwy6RQWJWhSR8ydBAsFo8cmsxQYSpW+4gACmDSwd4wtO+SUpHPAsP5b9Y
+j6biSkFaA2yBNI8xLKFEUYBWIKh+TZUdWMoMbLY03Qncw9RjAHeJwHe+BrfUzF1gbfDjWiUPk0Hm
+HRwCsofAXzO7AaYZWmZY7LQMlAYnl6ehxRVuPQJHgc1HyOYCNoOp64aoufPNtrtekUrL6wgIAMtD
+TKXXslHBmwqVkWUDWhWDLT3+IgEPWOmDEyWUYb72AqR+Xna6gUE944maujqO47/4hYgHWWCLJB4I
+ugPE+RduakAUcOLWGWCEHjgzyJDZDdN5yCb2i1doM+D5E8jhhtZvHNg/qbHJxlhEkDzHSz2pMc9u
+4UYCipaThRVF6mylgZB9NePaWiPFkw54NbqxBnoCDJ3cyqZ/unK/FtZSfSFVN8OtAFIKFDNaEPGH
+MHiZFB5wmtvMDvJhuYvJrKJZFqpm/ITHghmUaf0GX2B2pBtQG1o7wpZgwKxQVUgRtOUIoEA3M9o8
++/n4008xTs0X7EcZJ2te92jNLUy+h1aHUphArfM7bEIAMkt2lmO6zccDcLwh08CSmUxYgy1HtOMC
+7DawzSVJ7yImSKYG4uNE1M+7eIfusARzs5iD5qe7awB3Yusv2wgK5T2LmVtKdDc62DaOEQdjTGZw
+V7pOkRwPC5K/k/GX6ucPVz6KrN3KDDuC7pDG9cV1tH6yBGryn/kP59TqyaKIsb3g0VsofYM9fw94
+/w3IJAG5IpGjIJqq9OuRAVvFqo62+BjqlC5sxlwFaTGmY1HbcN/xOf9JZR/PgIozwaLx/PB5dgmr
+41vacnRcDkiWZnBOp4VUw63xWQTY0DofN4YHENYW0HfLRsCfKtxCMm6YmrxRUtQ5qwAEzbK0I9+v
+gC1orUFtB8wXwHaGXewgiJINbxogGM5/S/yBC4bdlgyYqYiWhTV0HqwV7qhqlfgfW2eHrAJVOpmB
+CLsFiUMolj3A2kSvO/b0vLQF0IeAqGc1IzGRHV6ax0LgrpuRhheNZHRavCiWzBBZPoKTpL0p7zEA
+tTy8GdUtR2a0sBKy0OvfkrMrFFbg1+K558IOqulBmrNduPUMt5zN6D4qrZY4LqdRbBJgEbv7Q4ir
+7Mkb5IJPRQEqo1AaEjACPnsxh/NcP4I8fexzCz7/wE02s6mwTljI5hcl5zuBs8VR+AH3ybpB41ym
+ohdaT/namBHPed/6ffFOJJRUgJ+tq7v7kHtmazj6zl4PcKoRQat06axBcKQZT3YE8ZiTu4JT7jar
+mjELk5iuhDAY3sStETPHsESvNfPoot0KdTRgCcYGLuYGQLxvHVgMC7glsvIR7hKypibSOY5bI4vY
+/NYMLG41Zv0PsOMNjDubSpST8D6DsypqHWl5YiqwOpZVBIiR4NvDlY9P2UOn7UAo5wrdGhdcQhBo
+ycTiNYHWBZiNkB1aFzUSAoZgZzDrYEdPZAiD7UNlAL/fAjhg4OYS5U4vWSZcfF4dccfniOp3ZcOK
+gVjUugo05RzKv1MBnlpNrkyEcc/Vd4ozb3jiQIC2B26uXNkfnkIev422HLzWcCo+HlZzww0F0kIl
+d32JtlRIUY4Tn1F2LUcG3j0JZIl+j4YTFmDWVFgt14xEOdwgxt01Om52yMT9yP1mCWv1Vuzt6Kjo
+Bg9yxzbGXb3z/wDhshgVljH3qhJ0uT5z1IB2dIUoat0tAYAyOwdQLCIA4XIIMVtguQTE4Qqy2aJd
+XEIefAE2X7Jl0ousqVGYUQTdHlptQoXl/fIM0RcOgN9zkMwJmUjZdMF0A5u2Ht8IxTe4WgDQFrod
+Vnu1fZRnxH22I7AAUgtsWbprSOtNlVX/zdAi6wRzpV8NkAWoM9py9OcT2XQCaLkquneVbl0sSQyu
+Ys6IfG/wSvK9W8M93E9/iDF3eOYIFYj0KgmWahmal62U6LRU8zL7MXR13iDO82ENqxykXwFwvEF5
++gT12XvA4QaqBfVwDRz3rqCLQHQDiGFBpVXHYHbE4uKH19HjVnG71g2eZe8hhcB3RQyzeTd1IDZw
+9HkiwwFHq9AwMJei3/dotb6QteTTkftVWAcnSDNrvsNX7jIGRIt4GSe9ACC3E9AI2yne6EHhPNiM
+O7VaoUfnWzKZWVLAB7YcTrIsAqBA1TNr3Kr8kc4TMM3AvPMehbrBut35h90kYRbNgOMBdrihJdN/
+VrE6Xk1nIj3SDfSu1DK5NdqildZKwq0Li4MKn6Z8LCwDnF2gLt4YU45MV9MFMnOmCxID5jav2ic0
+2LyhHgFzK0HiviJOwmSJmfamGOZ1b+4iWbr5iR8Kp5JMpqdjwwN6rMdX9MnaoxJsy8py8hS9v7bO
+khqkaR4350T8xL3HWJPmxuh6ObpAvBHqox9C3/w2qjWv2iBHVZIMssIMSotsoAXv8S/kfXdVEu4l
+kG3swHhXa2hUVhJKKYxpYGglhm5ZZSw3hzxdx2xZB/iz4sILHbZONX76cr+NVJNKUfpOGeOb1L53
+2DHcPbUUkqj5MUTZ0QVw0Ob0EG1/BWniYM7lQJR8PLSW8QrAgGWNEvb14DEw0wmYL5wz/sRsfrFw
+AbYGO+6Bw7XHj2rrLqEFhKIvTIm4Tas+4UixLKaMGVERnCqsLCp2sKU2IJMV3AAg3eJzd9txaGJD
+bzvA41ZK11mKd7/Wie6OF3urFp/bzQnqpB7RdEr+rA7cpCKrhjTFxphUKCkW8EaxdLcG7h7ZPmBc
+cSsKoJOJk88Z+Tu7Xt/aMCa/ZzQE+6owi9Ckr/JJKuyDtwGoW/Tv/hAw6SVYylk0KIboYJ66EfGM
++rO/826tK5q43tba6vrXdpP4uKszPgypgDtFqMiiV0Bu2Ek+SYWoeOlxPmm5Z3qZAX8jwsC3pbJK
+JsaUvjMI3SMR57GGsNU3F5SqQiq7/aqXh9hyw16Gs8d44Ah6gQK6gVSD4sZ5qXTOByfz1ruIlC1w
+olzuFHFaEbHFM6HLAViuvH1Vo+sBukIMpJs1iDLg2givMINab2PvRcSAFe/I4puhf19V3HVzlKiP
+qhFcm9go0CAxJyhFy5iPacQBhzE3g2HjFgGralUEohOhIAoVQ+U5sn6tVWCavPZtCYZWccurHiHS
+C697HBAeYxljUKNCGZVXLqy41sFM4CX0OLp/TkUhZYtaayr6IGUUOBg32CCcWCOwfLMr9zhfUVfQ
+AizX18Bb30UYet6ktcNmDMZHMVxjeAkS1Rf9/qPHYRvwdRHX9Mei/fPF6wX9sifvChSbq8TY3e2+
+abWxIs6VrfZnrtYNqaEiarD67k/uV2FlTAHAuAeEQuLrtyTmr3GRoAGFRZpM3zeb0Jo6i0FhPdvF
+q5C5OePBhvQdN86VjqKwywlolyj7G9TWemp92jlQ80XXkyL5EWl7t6pac+72tkAW6+6PGUQWKqJo
+N+VA2US+A/75LGQ1j/fVQiBm3+7aQkxbE0AWt6oMtLjQd/gc+rq6FWkLoj1X0KKgENAr5B7jtaf7
+EBxUsdhEPADcDCJbWCXYkTGz3J5YxN6nPy9wFVv5CGLjQPXxj1sdEvVe/BzxTYYdInvZrHmdKgCI
+IZhgfNEDZf8UrRpw8wTWFpRXvoq6fw597wdoWty9FmWD3P6zgtIY/9cMYz23e9oD7m3UEEC3cOJV
+KqOMdxXnlW9LhY3xQ+nKML2GYFoYLb44HZNRqExenFx2Uo2flA592nK/MawGmEXNlweZPc5ZVnEM
+d2PAWnoB7AAc97B6hELQsAGsEIAZy6JC5wuIKBoVA6YdMAtkewmZZrTlAMwP0ONiRL2XK2D/zM9b
+F4cFxCR+6R7TAHGAopL73UgupzDnVorPjd1awuUTvmWNgXnLuFDQkfgiU7eipsI1Hk05XQlEEa+f
+f3C9BuaJfAa0SrywWzMBkHEfbYAsUJ3RIA4AjTiOARJ1m0JLZlK05Qg7NidQNG+jZZhoVYS5ELES
+Km+6uelCUtJdGyztiN3k/Dj9LNyySohDaxDEGE9uwZCYDsyqWdbhiSsJO0Cvr2DPH8M+eIubq3e3
+qe//xJVEkE0WL/0SkdwIhB5DJuP6RTL22nmuGpWPkYMqwSEqaAUsD/LnLKJutaqQz97jlqbwdZPW
+HfI6EioxWtph+QnjbGbA0kt9wMyniKWZ5zWzn2uX0Dg/zHE9E7sjs3tJx4YMuzHrxNAq5OY5WvBw
+iwKLV9n7ob0GzzYzPCjcUhFauA/zhTd6kEBIO7ASDxWy3ZLlQSBli7tLJ+64IyqOVoM3a4g7jOlv
+2OAOREW/vxO86amERqUjYA3lkTCDeLGbUQmYHTKHL4oFdbesg1NhdJe40KQe/b2yYc1ZccaKbM/m
+469TKJ2ZCzUC/XCrK0fQ/JktPZ4V6fSPshhSUZitlNQqmBxuowbWKlgjXFnqoBgDg4cIUKtAH70N
+e/s7kNZQdSYrh9+B1SVxTakZ4IqnNzmJ61qPeyYtAuyqwRgSJo10a3qcO/nbN09RyQoJa+30NHkf
+djL/8mMmCYQzdBdaRPq4iPQ38pP3K/dbmjNNIJeG7yo0wW1htivwT8ogtHiRsTZzOEBjPKI1tjgn
+WZ4UyATIVNDmLbJvHSafwFH3hnANPMbRTHnMCdju+sNNk/1FKV2PMwmAItq7knCnWt90N7fj3ACV
+VuCvwucFuNuToC136ubZuThHHCvMdlp6K5Q4MCiMcYLG+uiLX8lAauO3rMHbmtESsG0sX7qzJK/T
+KUGQaCxpUqOVE+4kF7UUbvLNG4YoA9zJxom871XskG92vnGcjLOs/mUiWYydKtNCeVSOhQGisLZA
+nz6CPXoTBnLz98QZnP0WzPz1DQoQ30Sp/FxXkjUinhkkrdgEOUveENcBZ9LQDVo4HxL5Hm5f0kwP
+2io3QU3AbRpXJ2h2yfkVZVPD5MiawR7ny/jbPcr9uoQXl8AhYh7DotECTOYlJkzT+s5Vgf3BoQcy
+weadf68JlPAIzFvoxUM03QDT1hVhAEwj2DpF9KIAJGILQF2b4BZZYJKUzKVBPnXXLiPm7oLB41EI
+W2dQEBHjGb8fEygCzXHOVFhxzScaJ3Bbi3lz1ow3eLv07ALDnTwuu9PVDAoRDNbG8QEWBijR/oVJ
+jcZIrDirawHBpSUVuh/yCI+D8T7C6LGGZkunQ1mNAV3c47Fr0BxB7QpuFdsxglhjIZ0s2tP4zbhR
++NVCUVCkoi43/trVU+j7r8OeP0E0NWlhtfLWM8YVFrFwDGi7G5onJbgphnfuzwXdU4gM+ahB4iQ5
+Zl2NCC3u2CRMWbURFl0d5ojAwyuRaY+JE/PE0DOx+djDWvfAS09CjJax/BQZ8k9G7tfCOjYuKINE
+C65xxyozXQlLOhK0o+/UmHyiC8saytZRw9POqYh1AxP1QgZOrMyapGVDczn+EvF6PutWh0v/7Nr2
+HhcBrUNrPTBpip4JPf066+3CVYypqYrsYjwoLiVmJzokwxpUq3fdkdKtqypQtB7YjsUr0okAAUdB
+w1wH5YbhY+RZSRBwy+OrwkgPKuatrHyxLq640lIs/R6Ijm4NSYBoMS50awCPxciOrcTaEZ0+uCDb
+eI1W52oSUbF1X4flQxN6Q9gxmC0+Z8S7z9j1U9j7P/KFebz2DVEMxo466+cmgyWLE/fP545G0F1j
+XoUJ24P6ADIN10uJhntIRRjWEucLVYczj/Y5HFaUX1w0RW0ej4wZOBy+z/16cnzATOlLjJAOf9di
+A7lH+QwUP3uKu9EtFJZr6DTBjMG/uniWTeFQhs2lu21TAXQLbAqfxgxsd2QaNZgtnWZZdbCSRhly
+VUPwFxg27e53rL8q66C2QzIYSE4L4fb5QDctGhlkjSSoNGNxChinmLDeJd1tyEYdQt570uk4FzkD
+zfDAvYRFAhDr1NzFLhs3EA5HntsXqiuWcO3QXTsNqhfpFQT8rMd1ALPqC7eRkxxYBdIVzEROU6bq
+DQJsDDg4RCILo6Oo1x/QyfivLUZRdcCmKFCPKMc97Opd2O4VyO7LvO4b4Ol7EDu4cnr2AXB47oeZ
+Sm/MStBtQhny2UVHodqVWDybUDQINRmU1i03xLB4k94sZ1V//r4p+IbhMd2+eVozaIk6U35buYlE
+jCosMyF7nMZzdPe3xTWKYeBk5VBKDq1J3Gvjcxtxivcj906RLFCCE+HKagg0J/Gb7ODDVSEPv4g6
+XdAcBtQKGjMdutnR7bG125OI4rsUyMeU8QHmJAfnX58K66U2XEuY5uTVsmHSpzWEnpKPXd6/2/kT
+WqsspLde9sOgv4nCdg8gF68mMyrMgN2rKLsL2HHvLsTNU+D9N9liHWzoIUDdu6OrM8wKY00LxPom
+YMLKg3bkQonGIRHIZiUBmTwdw0oMXW4SBXJ099OCsyzGV3ph82pUiQfzzyjK8Qr2/o/QHj9CO+xh
+OLoFvnsN8vAS7eYp8Ph9mHrG0Gt7nco44kQRzE7rJ4L3edre3j3wUJEgym1K1hDQLN2hImYPGrf2
+VnckqXi8brCksmvgRk5FagCrQ5hYaI0WMS3lIAMEoMEE0hoUwV3vFtPaZ4hNkdacjZvv/VpXwH3H
+sKxjnWRhm6yM47jF1cDmkdMM2X4R2F66/14XyFJ98ZSZBc2x03EnUFbkB8/rJyGqiScLKhijDZ6x
+JCB3KTGFDcDJtJrKaAFqujBR19XCTcpIiAy6i8eu0c2nMa9qaKIor3wZ9ctfg+iFK7LElLHRx3Th
+i2b3ADjeAB+84ZZBAxQFxh3b+c2DDUJdSUVQNpSJIMtXgpnBpaKZOWhxuQbaTIJF0F0RuvETzIhf
+I0tEtB0TFoAnPk5oYe6fA/vnkP0N6s0TYP+MRIIco7rAnr0Fec6NIrjWJcbXABOyccaEZEIgEO5J
+NSzpfvmv1v/OiAPPI2FB+9+5tYnPDkSLrtHNhPOH+cdmOmUtv9i7lru15lO+odWwgjz+JFFsWGZv
+INx8nYjtETWQIxZvVSLYDE0I8YGsMpuf66C7u3rcLUgx7DPMFY4EHasBmBRtu/GSmxY7gfguUrZA
+GVLcd8U68kA/Lxn2pYhDmTmieuQRlzu/wQUqNMGpoCLoOnQPfvG12wted+Vo5QL44mvA5gI2X0A2
+F079a71ebfxuQ4O0GfLq19D2N8D1UyY0FpjR5bZQknRThkB3/pvxGpWKZt2dEziNdSPlsCHIEg1G
+JL2E6y6KkTuc794afl0W4NGPgEdvwNg6Hsb41NBBSEKJWrhtedPrBbiaN6cuPd14VlSIBSfZrdHn
+YajQ5PQ4/QYGTOidZxyM8LRvcorfccT+PfHyqHnjG0obAvzGcU8G3hPbP2JwscFSSSf1zD3LPbuE
+GyeHq2y22QCIeWq41mH3BpptnPiwNA+IgojhMnm8ioh3QbSS4jncmf9krt/CQhCm/K23qAdpcuqe
+1hddAJLEedmH1/CNVqXfMN0cMyS1TjaXdaUeHar9OqgcOW6ymSGvfhXypa8B4o0x9HhAvXoMbC8g
+i0GOR9iF0+S4kacAqgNpX/s67PqxL7aba8izxzCQ3HARt3a/8BVfkk/fh9gSNp67IxrdlAeFFvdi
+5niu4kpayOkFwN1SgI1Bmtc3Bi8Y40puiRTI9XuQN76Ftr+ieyTwpAA3s4nAzOpUPEkjw+xXJBjj
+mmGtW1cGZCflMNQYH0uaoSy56co6G91QSXmhuYcoNOhZEm+1Lu42KEQrYHPGmEJo3yLa4ImZU/sA
+fjyBrwPypuHi0pNQZCuBTm6bHW/SEAiMVShAtxuGukSJOLAXq3tWsfqcv0e5V4WlUpzYPiwKkYwN
+QNkqC+JF0kWBQA5DAdkCk/SSCogriDu3nk/KH4zD8/pbhdmN715LhSwHf8jJVYXVLt7jBegu1CiO
+EBygCfH5O7bZwQ1u8wPo5ZdgKKRHvkE73qBsLtA2l36caYIIlWAeiAHiaYI9fA1aLtAe3MAePoHs
+r4HjgZtHhV28At294o1Sr95F50AZEOO3xsitYjFD1LwlpxcTEKh7oDIzHJcWAEm/RIgt0PdeR9tf
+JX3OGDFKPB/Hw08/+D7GDW7AyUnRnlUNF5XXtzKSLP+Xf46PZRjJLHEKSMLqmxl/HIboTqtZ+usW
+yH5ZK9vhk00BmSbozbXXls5bABWyP6LXX/p92cn8GY9lhOkg3Fswk/xJr6UPkftla5gEWIzsBc4w
+YJyoThZGipBSWP7Q2JzBAaBa1H33nDEjm9KnIARnxg6YQfcGxl9oisdOZoaeAOAEMSD4x92oso5T
+MueXH2u7IoCTC4olKgCgzdDmGdg+hM1bwBa3YuoRVivqXNyS0shE5o3AXZi43qmXfugWunkN7YGz
+lgZHlltmBrv4AnB4Dj1e0VWsBAOHNYTV+jMApl7zKMLsfqvQFpYj3FKgtjIz3+GjHAiAXj9GPTxl
+O3diwPJWwiKT8GfW1zBincwg2rsaNb4+xqiSxQCywkV1/SuQwpBGBOqTJdQ/6MkGfi/qGCN8RAUi
+gFuUbt5lzCyf9ShxcJEeelBBK5NXGRwW2PHaP1smxy0ue88WChJ2o2yQegt8mnMioDp+ThsTHPck
+9wxrcLgCpHacTjOWnChRxeJt3sNFEtBl4s6ogmjl1OXT2QWEIE2LbFZd0oVg8/K8lkhD+7xQBFkc
+spOCL7ssdA5q6MB0xURhe6q0jMIyATz7Vybo9oHHntrRC70bHERbNv7Z3FrHcfLjtIV4N3HXT0Ud
+JxXpfZOk9TYIZPcAdnWBdvPErWPrC8AQtCxAKMXMgho8YN66a+NsFOxhne5YrBinE7LjAXjvLUzH
+hhrWOIZV1SyzzidPKx4aMoMXWufWCdRc9gAAIABJREFUGpSTBWzIlmxBqZMGs7v7KkKw5fA9xjWj
+FKzFkA/DriLseE03Er6B3zp/3kOEO4x7Hjt4A6jWAJ3QjkdfTzCy6hLSIm34vs+34KNvI2Yvxgld
+Kd+vXdXlnvsSwhkNojbMXwWCdMcATEo3EV0ZCJBdgXOSdI73n210uejTkokLCOtjHZNZ30j8Vrp1
+DJizrAhUUhIYH4SlZXR1iJMK5RO/xwgr3WZFQcSTslYviPG0sUpgZsxigUwbyObCY2DSXaDT+xaQ
+WTR+xAudrSjMZneZCLtInWwA5g304hL2fCIzKcfJ/Jlai+cSVMeAkNTQlmNaxtFEwjv0EN+Wpof6
+wq5hdV1jSSVD5TIE1T3+FBfY46AGIFuEGZUN+yW6ofMih0cgtvEpWQM20K1kARzacNp+jNZPct4Z
+vHlsEgjyCkOpRUzMArDJvyHDnLbh+DUpmmEGbCdPZC2HVORCoLGQQdaPz7nODdOfZbQQ09CFXYGN
+8I57lvtVWNMEWzbwBqoNQkR1I6eQlBk2Fxg5GWCFBclHpsCtwyJSUf0sg2qwRP3qatr2SdxwC3ga
+TSFG0ViUQX5n6IvV76PHjVwJ+SJsCf5b0+6grzaLa6DbaA0oE8rmge+qasArfwqyfeBfuXDUeWbw
+7iqrkEbL1q1EJ5Tok7axrtMmhcxbrHA74gtCL15B/crXIY9+7IslykYc4r7etNPdEiLkaQ1FfIbx
+LEtLoDj1D7+F5ZqdgBZPcECQbnZYprQa3DKonsAZMocdFtGZDFoUunOsGrstBW5KLOaHMMPWn2HX
+rT5bxvxJzCK3Pg1WjzAZ41fcnKTEqJDbjFRBYPXH6WMTJ6z0ZiqL95w0cQu0Hkkl4zg4kdZxYH7F
+/IfBWHXgSFVLozPOEYoryRhfsGd/WnK/Mawi3ii0TNn8FKLQzQZt6laWxG6TtXcVaHtHbE/zyQ4E
+vNASSukPLCZ6FIrasXINyPhJ4Jb/Lr6Y4UouUObpnpaoRzxCWijBoS4rrSajV0hXagBKxp1wI/Qx
+s0arEh44v/gi6uUXeQ+AvvIlpzeGuCWGKJo9HZMB4d9YcVCH2QqDLLV7W63B2pxAxlC6ZoJaLiAX
+Anz5lxzHJYDsr9GevAPv7hGVCGQ1dc+PSiDKhaIkKJYt8tkAQFOFHI/AozeBw7NEYSOePS01aLg4
+hMaoEO8lOZgiEfcKS8O6sgqLgrASCVAzR6UNTCJxzaedelaqIfcKx2tJKatEh4z34JN91BhxhX0m
+5OPxzzYFMLtSV6P6k+H8bETRr9D6XLA+z0VKjrvQchyZMLLOVz7HWUIhKNRMkDznxRe/NyL1NmBW
+ppXJrNwR/ZlVujtM8xvjLIh40V3ipStRs6gibuq3vjtHxo5H6vVlubH2YDcYvOxMklFrB8BYbiTD
+hKMCgC39+MyccSUjJpa/bzBEM1fndcJ8AX31F4CHr0Gn0tHPymNDBqsTOLU8DQuk0vI7XrthEhX6
+tkDrEc3UF4MWXyDNWVENDi1xtoso/C1ou1eAzc5LRbYXkKv3gesjTByxDXG3yWM5oxLtykPJT+5d
+Xkp2fREz4OlbsKt33LLAsJhXbou7SFnTJ7kEkfS/DPgrAZGrImClEpqUj+GEsG5wq0bdATSSHU6e
+RDBx65WKQMi44UBM6cyq1nyDYaImVZmIg4XZ8zLmfLpuGSOcYBsFDg31eA3v/uu/TOEddpr5s44S
+o/TnrVtRTfo4x1hlzNhDIuHK3qdjeM/0Mls38c18YiwHZkoEMm9JzS2e4RDxKnidest2LU7tqhNy
+4gK4razSTvHfK2Amy1oMnMz0/rXvSv7sapo5Ert3PL7K5RMb4Bh7stYLuoPGlpMhCm+i249P5J71
+lHASDBkPyeOyN6C1BiUJoofPDHhZvG2QVv0eJNtwITNsJgqtQKsGgcMZHFcUpTYe4I/z2BRc7Ft/
+ZmUL+8o/AXnnu8D1Mx+XwmyZZGEKoot0jG3L0irG22qFTBvg6gPIu28yPsPPEPYyunIj3zkA6KoO
+EKvMZVhHpySBCZ6M61hNpcHKSVlbWcoOROvsXq8IuM3hFeDWzvSR2UN+vtFCS2MSMZttgM2IK/nh
+szHXVpdsyKO0Wt0CHeavDGPgGEHpEJR7VVf3jcOC+9bukhyAVlN5CFO1CCCmERVtLGtB6w8VlbF3
+B1SKRHNPIBdUBqiNjUl755bVIwhXkHVhXqLBp8/Ue1BNaSxAo++PuK6wpGIXM3aTZlNRXn+Sqg2M
+oYgAPPsVdorzQCu7JSfm+7VpgcEVdnciP4IsDWjeoqspAafi1quV2S+9HDwIHq7N8eBF0pO6K6+k
+59UeOA6vuYlCH3wBwNeBJ+9Arp72WIwZdJ7QNg8AmTyzOTsdkNfKVbSb57D9lY/hYQ955wew5elJ
+fCisqFMlgIF2eHgRPsYRkwmrtCO5aV1EWc9KEXF0h/lBz2mlBKOcBeJMq5DqGy2bBIcnkRUROT9j
+o5JhDo5Kw/q1xvlFUdQbvposPnbMVDtpYiURAC3vQK9n2zzrY5nxTUHSBa3qZONmP8ewBjs89/bw
+iaSlyVu5/HSGzg5utGZAaSibCRUOeQh+J39IhD9Q0bj5m/sVJ0qgAsLiCXdgXOTL+iKls4YiFByV
+UU1LjbEPa0jFws/Hg3e8VAT3h7w43MW6MwNjdnI9oeDEAZtZ22Xrz3yoiCurxs7Xef2GJgUom1R/
+3huxMdXuYyHmsIwWVindeIdguOUTwEl5+FXYfAHM7wLP3vfrF3ibsle/wgzmBq0UT6RQWchDgdYj
+5HiF+p3fhj37CUw2aRVr8aJ33k23JkTYjy8Wmx8vRzuYbJkhHMfEhqLg9JoCCjA+kmGY+9GJCl/Y
+Pp6ulBmplFEghRtfKpReh3hy2H5NQxR8dF2jz2JrDRb9WmmR5maeDhwVe7C6jkDmnD+W58wrGdhq
+hcZBUv/ck9yvwjID2pGNC1jvYKACO8AmQ6uu8Z2DaYEtU1pWJqWjpkvx2IlOiH58sUMqJHcLM0BI
+WZKTZcieicX0ic42c0+5x3RmZs+7hwtjWesMXDJ6NlpfYoA2NmBlzIbH0QAkkgbGpQGkMBEr/v3p
+ArLdQbfeFKPtHnZs1EcdczFI2zvHPNvBS/W21yIKa0d20EHH31SDt3h3hlczKiQTh1CU3XACwOlh
+ABR3U8tmC3v4mvd2bAY77iH7x8Cb30O7fBV4+Bpk+xDYGAQbmFc2QiZBeevHKD/5Fmq5YAxNnOtK
+OxNBQAxy0RswdoxJyIECyagHX5rNPCvnseTC4/WwQAL4yciQsdaTkAHCVpdulRndSRVxqzqNmNG6
+GxQQQs9QQcEzuEICSrPFY0kZABd2RdpDhXNe3J1PL3fwIQKz1VrzmBhZfTP+6hrJ4RLEQY6PNTKT
+9+kU3jOnO3fCIa4D+K+OX7Y+iGDmqkxO1qcTUFlyoJ3U381aYat5ZyxINC/PFVM8rLrxmly5RdOH
+I6Qy9RumOq1BSybM0WJyib0wcZNB+bIio2tE+Tv4VXII6FbydmS3g26/gHb5KnS3Q9MZKq40WrIZ
+fNTxDksWCCI7b256YAC6AYtlgDXKV7oVBg+453050NTgBHxZCrMsPsGLt2PD5RZy+SoMArUj+zTe
+QEsBtpeAbuKJ+JUKoDfPUV//ZocwqINvwcxdBNbNKhJAyqOETdWi4/X4YCLOxSHJuFxYMDoE6cP4
+SPYP8TDBAE8R1QHwG+cPBRSKMsIf4+OQvFaPp/XnlOdHn0vxr7DwnQ+ulx45WDSSUcP6CW84zULG
+VYeBEZmQ7efQFdSq70BtkHnGfcr9KiyhdSATxI6uiIoCh0O3eqSxNboX1Mo8QzY7eN9A0vfGjjrs
+nN4ROoKJLU1lbc2xJGbu5mTNBIZYSDehDdXT74K0ZCxSu2Pg/VRissUxDchAMqzjt8I9UGR3lM5o
+IFykBtt6xqyJwlRQ0eNY6xU5SmC8uHCCEUJm1hLyngHI8Rp2/QShZJMKV0AcVMGq6j/szbbA2o3j
+fqRkcwdnFxUHukYZTywGnYDtDNl+IZwpAKTfQkWTAj1WtG/8Lcij78Fk9kVNSykyxunakyp4ZVUl
+QM+6S8OLT+eHlo5k9mx0/fnI+2PK4XBDZNgcB5hAV5phrXi6LgCqoQFFg+EzoAQjhKGLEmrh/RWn
+/K5hgkrgEgnC1eJWXfQ6iGuL+yIgV9IccGXuiZ0hhgYQ4MprK54l1jJBpgn36RTeL6yh0BJSAb74
+Fdj2FUiZIcs17OYKen3lce5m3n/twUO07QWAwh2+QRLjc+cJ/LdyiBu8Er4dmF3ruxgwWBXJngDu
+TPGR0IiBzG8n0wtpheT0G69tACJ6kwH0v0VhIEXKYGUArsjw+F3Y5gB8+RfIxjrE1l5kpJPVIjq0
+eIkwWSRWcBpBm3aQ6dotLi2w/cEbz6pXGkiZoWVGPR4G68PSVRc5Oio+LVElaBW5KeRQ5KCsX28A
+UHaYrt5F/YP/FXj0x2g655h2HN7i98Pjaym0GCImJCtexUiKnOJcrLUhOG/o2dWweoTj5ore414+
+3sZnFiDPcRKGYvI4nlutjvjnXaYOsVR8qUbH8RKnQtbYMHWGYeGZYqNmazF6EarsTk0rzHtHDnCG
+eC1eiAx7q+t1FB6PKuN+CikT6vKCufYpyf1aWA9/ATZf+mBNXEhNgc0u8TpSaFk0ALqBGrncb1Eb
+hIwD2ndBb7U+k5dtQru59kJqmu+s9HU90iLTF5X6ss5OMWh5S09mEH+4jthVaaF5zMIZDVat5uO7
+NsY0PLBqtUJ2l7CHD4E5FnDYAC+R4PvlOYHT7/Sbiq5EHscSyLyByQIcK6AGW6o3l817ad57EfA4
+S1uA3QZBB4PonLN6Di+9WHcDD8/Rvvc7wHvfAcol5MRd9z6ThZah0FiNILP/pMIIjz2sBpzMjhNI
+gwzzBau/3Dr1AucIQNM2fMn6NR6zb3i8Fiq7/uJwLcNE68yighEKAi1QmTzc0XzzVHHL1ouh4xD+
+vbDmJSsvBjdyhESMEptlZCm1OARCBC+55U9c7tklFIjOSE4m7pLWFNALyCtz7qze8DMQ4y82Sk0q
+ooQlm3wmh48DOE230A3QcOXnrQ29aaUryeill23kQ2kBK3fvtjC4n40oxsdrK9dIqngsTgRY9r5g
+w8nMCefxKugFIFsk/1Ec7+UDDK+xDNqdPjnFju5OzBvvO3h85rAF659CW3xcsEBbA5ZjNux07qWK
+hgKZdz52ynMmMfppBurFVwkF7HiN9s3fBn7yRwC2dOPjTs35vozJmbj/xEwBwZjgxl247wNswdbP
+oysSvqdcuKxYiM45uYtMsycl7GTRD8rG41lM6kylu1vNNyiN9mB59n6s/v0Ys4Dn+KwQW+D8ZhMt
+owYI4T4D931yedGikuJ4vYy5SVdWyfp7Wgcp1qm0YUjmFP08W1i3ZL37p89P07fvnqef7aLs93Hb
+mojvGNCK06+UCWriRbv12ul7pXg8pohjlZY96VSCVmVERsutU0Rlfncph4lplgh7iV2vTE6TIgor
+s7ccXxZgKtAyUcEKbFc8W1QXj9+9ZAxeLIPbcrgmMdvik/1wgHL0lmXv2cwWwWwW8IoicGkW91K8
+7lM3F2hlS2s1RsfuUFVy8heVTq2Qb/8O8PofkgRRgdHtFVo8scPzelT7vLB4AvkBR7ynrn7hcIUb
+GMfiMxymkH8iLNu19IROt5aQ8aP+7fH+147gySjFd6xnEz0hUoFpBqRClwUNzTeV2obC9pdYQBmj
+GkMJmfu+4/N+NGtOQGhaYLvPc9B9tcvcFsmHDT6wwCSpx2Lu+J6ZQBCsny96dJVhAi8MlmmGFY9F
+aJSrTDMwAXZjrrjq8baxEPVmwgBw82ahwGB9kdtJwEk1byB1QSkFtWyA3SVss/ObLQrb33g9Xpk9
+dX+88djEPDvdcR+dl9zfhwmvLTrTLA6naHVx+ERbICZo0wxpB9jeFSjI2IAopcrONnWFxLchniRA
+biCnz8wfrwH1AP3u/4f24z9wy8Gsl1oBiDpOk9KLkYM5IvjBxIb54j+tWS99E2CV8VpZvhGw5wMm
+QDI3H4DhHCoYfrbrKB6PpT5RJdGM+EE/KSDBDS/oRfCAWzD855Cpy+/xukRniE5owjFdjsjkzC1l
+1L9u5qwOXhcu+VELjrFBo/dEe6w79R6UtQJs2vH5dQlXMYO7hyFdK3YGeWnQ4GeVsOBKgYX1whiF
+brY+OVj7B0haxZkcsni/3WlTZGZQFbq5RBPFMs8ekys71q9RLgpkuwPgVlfZXqJVbyoxeg9rl+un
+F91eotbm1kwpkLqFXT+DLdfQ+QKtsKPRUSAb+C5ePSngnY5maBnaI9R9LvQEKJJNIK0V/yRicThQ
+cwJ+8vfRfvT3ADTi2gaYSIIWTy2HF5lMg/W3ctlfPMcSSDpcYyLaB0s5g+sMbp8er99Z/PXiOGu6
+kjw2w2TDZa6PBjPY5KDY0uCVENV68qSSlffUmFsforvGvI71GA0KNr5UNDFoTj74onv6dOSeu+Yc
+oLY4gPGOxRdBVQnqE8m9BjYJLZeT6nHSpQiimv8OsQprRz+fRAE2ADMULR4hM3/fwmXToxe1hqUE
+sKAVDuxE1FtxngQdCajYbAPVHbB5AGxmZ6hoJZdun6wld3czoMkMTAzWm/UJ3m/4Zxr7JlPvgC1b
+NG1AO0DaNZMOfmiZd964c3/thIvWYOQ+d8vJObDsSI5yeK/BWLSmIFEjYGrAPEGbwaYJKgp9+7uo
+3/2/vfC3CZLD3h8UR2cgcAxqY9LSJD0MoSBj5UgvucFqaiUzKJAlWKM1D1haIeFS9q93i8uAoY7P
+D6JhRXXi9/69zmsDB4LyGiNcMCirqCstU3HLVye3rpYF0hzsaZAOJitlUO4c+0jiNH9mwoxfV1K0
+Iu9y3NVLi6SSm0w9e9z2n2Oke7t+jna4gs4byLQ78aO58kVh9blzpFOpAAaZd5DdQ6zangNAUBa/
+zHY1N6cRiHclb5QBTbv7kywKgNfNzeJE/rljMWBeDKjGCn3G3sqOD/3gdzVfwi4ewKaojezRhtxQ
+U7qbk2Nx5+38lNaVeeDWgFzIPsSFweTm47q/QTuQt6ko6sEpdrVWGj/TEGgmOLSw8cRcYIUWCxpk
+8V55Wj1wayYw3aB88C7wnb+L5d0fwPSA7JKd3Y6d/tiToRywSA5bJadU6fEjxELt5SQvG6LExgEQ
+CXiKpKLtBH2h8OKZrC04Z7wNeueEqwJ5ffE3+nGGAH1eYwCFJZSZAVZRawOkePPgVj00ARDpD7d4
+AhoT+CsRhifiCY/j0TBWCOTwCL2EiNZFvBbqcIqpwFqFlumFduOnIffsEtY9lgPacgQ21QniRioZ
+E3fDTDwIXH2H17agVc+qYXfJuAfjKSZAPUKwQJRxoHxoMfmCrC4CmgNqfX8NNIFOGzSLmAktrWnn
+pSiHgwcjtxu6kgI7Lv5TF18A04aZF+7Ak1tV3ihj3Gk/eXGeJ1ccbdkDDVAxt0pi0jdArZE/nTGa
+WmEHZ7DEsvQsplWgHdxVmHeQzdYVfpkRLhOCeWKpgB0QtD0GAO/9GO1Hvwd58hbDQTOsHdYXba4s
+hHHGrHlTJL2Kfy7uMQxPzbUZ2bA0zeNFds3xonP43zxn7IljfGo8UaIgNBQXXKEMz9IiYD7CLVYc
+7Ri2qlSRnpmMzRbEy0vxeTzALwDr1jY/4zWfAWh2zyH4wLzVV2yMncmjXwOtv+gKFHRCuXFGpMxg
+YjcvnmmfvNxzm6/tU9T3fcHYAlv2wLyFTLMHVaGQtnjqXIu3PV8qiCZFu34KgUE3l44TaebvLQcv
+NSkzexbyNoUB0TI5x5YZME3APLNhqAHlKfT5cwDPoNMWNrGb9FR8Ykxbp3WBYdpcAHAckChgW/hC
+Xg7eAl6UGS+Bza7ARqTxT20hfWTpu2m40N6I4uAB9cqME5kLjLuuwYDDNQOswFiTCQaxvc5wIlRi
+gcgE6NYt3bJ110HEGzOoQaTCGsehzJge/wT1e38Hdv0IZqwFzUXaxcgc2uuVqEVE4LV+YYkRh8U/
++rq2HAPXQH3jEh7TELV3HLM7egOsAJ3xDwMsrzmsOypoQbeWxmwxkIq8H4ZzQWjxStzDUCJTF9i8
+A7YFODjQVcycv51eSC9ItnwtrwnoY2scj8xi3jH/1MdnLLoWUdjx4OeZL5/c/tKnJ/ersL72q9/H
+Oz8EUB0Q2hyg6AwATjEsnHCtrVsceQcXg+xvvGpdFJjUXYu2kBalAjiiV52rx1HKxpXcRBOaBZ1m
+QNl9CaYXaNdXQCkoZUJTcVcOxY8/eefkmjtVoO1ZUjP74mwi0OmCN3uC9v6Y1lWvP+slST3gH1TL
+XFAKv08DFdbCwld2Kxp0Z8QLrR0h5vWAjecTqQAUUjaweQvZPkCbLyAXF14mIr3lu8GHSuYZikuY
+Avr0XeCP/k/o1Xuo4lguC0vkNMtFECukeCY3SltoAaS7I0B23o7mE2bw+IyPSg9SOftWX6jrRM7t
+5Usr/66NpYHXFArAcKrU+mGkG1PjPjW4u9Fd2ZhFdNfXMVQKgR3N4S4G1KVS8fGEQzszocUIYxwO
+/XP+VLqFlTdNBSeEjjSzVLoCcw+oVQ8nfOlPfP/2YHx6cr8Ka7v7g3DPWt0D6hgjAdCap7KbDtXp
+kT4XptiLutV19IWEKihG83nauFs2uQ++3k1YR6UBLA3jGn68eYbIK/4356yzdxINbf048b0ULZDe
+VmZQIre/9/EkFM7iOzvgE1fyxIgYIBZzV9qAJgUt2pO1xZX60gaGTlcgQgyUkRccKsSoXQDbV6C7
+S9h21y3IYB7NrNqoOxo2H7yL4zf+Nuqzdzw9P2C8usY1ZoMJY1DWOzJmJFpgKv6MQOpj3qIj7FdO
+Tg57KoUBVuB/+yCJkd2zd0LNcpTuFsaGM1gfRhpsbhy34qnjc45Jwn6M8bZFvMxOxkIAgLHBIoAR
+rGuVOpYWaLD08thu+LUcfBGkO2oqhE0MWA9EAoJuaOJAGFFufk7fhBT4M//6H+Kv/qenk/FTk3tG
+uuMbutSlGSa0A9OylcXJpLcwhZq7a9mDja5OzodosroYzI6w7Q4yX0DVraNuulOa4ETNdOHOFEw3
+yTyaE/e+pS8Ci7geu9yMlkPylreDf6d5fAplgjx4CDEFlhtI3UNbQ20NmDzbiXqE7G+cVFFI8WwN
+Ou2Ah19G210wNlgQ7a9cTqwRUT/+P/x/cHz722j7a4hu4FnaIXQ7xGei7VRXhFQqY8zKkK6iv6tp
+pfUGEcjv9mvjOcLiaNUtm9PP0LoZGSBuPftw4+hKtnBZx49kYsJCq94+lgXE4eR1ZvxsaZ4IArxw
+v1lefyi1zJzGPB81dszbHLfIXI6WHS1cCCCkYDZBq0vfBEWAVo/45X/+G7hHue8V+AD/wV/7I7l5
+8nU7MOhapAMUdevdodkGvhP4w3dXm2DzDN0+yIacogWYLzxu9VJD5kVvxmQ+0GNwpfny73yaQsvE
+mvN010q4hZLQzjyTNF94Hz8yuJoZkxqbQUHk/+4Q8y7MAGRZnE55M8NKoRt6VzMCg5p3ntdpgj55
+hPYP/y/Im99GpQICCMAMJoO4BANLSCQthjXDgQyVPsrsnH9fSyDBAX9o1l2mpWWAvOOtBNGLD8Dq
+9aQBHmNQcQ0sqwFA3F0oCCqrsHTi88mU4M9rnMPJhNAfRI9FGfr9FYVuXwGOB7TlJsdQQIUZ15RB
+/VDsrsTELHsKpPsaWcUi/fqZgFK6lm05IDLtkZ23aftD/B+/8U8BuH7BpPnE5b5Lc57jS7/4P9kP
+/t6/LwwCixW0NnHS7X3Q2aoqaWm1eKB3u4VstmjT1jmdWk1zuNmdxQYfQcIt0Jxrnw1F1cVDIpM3
+StAFbdl3txDCbObWM5seyPC1bsAK+Pdh96ZbAEDbzDxnG6zNO74nCpOK8vxdtJ98B/XH/wC6f4ZW
+5sHtQe/8M9xQxE+8aS74eXRlcMcphYDbiP0kK6ahWyGx8Afr5xRYOuKyXjguQixc9UXcNFxoIEtw
+EtXev+MZSCY1WijVrmyD4iWtHVYQZH9AcRiITY6Oh1XvMJX+drjhYR32cqnxltxpCYUbShT5fQ3a
+5KVCIF5fG8MRFtarX/vruEdlBayRbfcjv/Jrfwn7Kz4c9ckciqc2NHL46LyDTFt3W4r/qG4A85hR
+kp2VTXYqwcf4ERQk9+xn6ccAW9wVbLYgAu9Gd0o3D7wvoYaFKT7j7Kc/V6SyhccQizHpGTfXJ870
+qnaE/vibsP/3r8O++7uQwzWaTnCOfec3N6ObEWtM2LNPCppOBCg6/CNYCnoqPgCjzGzyAiwxRy4i
+XiwvgyKKEJ1mADx2I8v33BrtMSlVMogkB7pHE5oOB8TJ71QkXoAd5TyZEPCL9vGN6g3Ai+6rOVEi
+1qVDdrzu+YGl5vchyvrP0q+B1OBigCwGNKAVJe8+oC2uL6xH4hBF0JYj8XhLThdvISAeevg3/sJ/
+g3uW+1dY/5b8Hn7xn/5fkK7chlmqntrN3W+egc0lZHMBmbbeHknAOjZO2txW7GP+jPJxj/Xz/EGf
+aAZSJ6s30tzMaKsuMf3HfoZz3TUS62P7WjYAeP4B8I2/jfbN/x3YPwG0ocEVVON//jAZZyoKLe7a
+5eJfkVgBo4mQ2KvIYMFWllG4mL1tl/+00UKLcUiglh9LZH3fEdS2Vu+YT2EdNtwas3AfLc7tjUeS
+M8u1Yga5x+uM5+pB8trvVQWiDbLcoB2P/Tw8f2u1r5VwYbMR7+l8Hj4TllVxP7stSypxB8JiYCMR
+4Mt/+m/gz8rv3zkdPkWRD//IpyJflz//3/1Qtg98V2UFemBkBJO7Cpigr7yCJoCUGdAJ2SZq9f8X
+STCH+tSV2LEBsPNFl3RhBB9LrweJXp7zJSVDH+VwiM5CgcNZB3NFCb/4FMRBjgVyeIz6238Fen0F
+m7Y+dMd9fxaBnyKw1OrBewLLqLwhAAALQklEQVRybEXZhl6Q6fkYo0h65OI2MMtGcCusx4sDh2XW
+KVbiOLFYIxkRykWlfy+NrhPF2Q+DjP8FuHKwqPrnrd83MMTMqAwHxZxuYEhAFBKNDm7KzS0wBKDF
+beC4rLD6JIvQZZWNRAwZBitQxMkPlwXI7y35XnxJzGC//Zd/EcDruGe53zauXZ7gP/prr9j7r/8r
+ePBFyMMvQS++AOwewraXkGkHbC8gDx4CGweCZoOAj6xMCBTkDurPTW6913dZ7uQfs62RZTOLmHwf
+b48wwkD6Dk8XJwK8p/GYT1AkOna+/vchb3zXmS4HK8bZiYXeS+n8SowzGo/iv83jhiUsKT4roL8f
+905wKuAJh4AWuYXNVD9joogscWbrulWREAEBHFXfU/rdkuFPTpXG+3HT0q+j9fdCTi27ZBFdWz1e
+q9jvsisTOL1Qsyysj3kb1yagBSZAx71juF7+DvBvkX4fAodJ1AVJfQ0gyoh6NYECv/Rn/iK+8Tf/
+6kecFp+ofFYsLABQ/Off/B25/uBf8HUgGLuYZLWXAYDBpBG0+9F1rtmh737m1gFk8snaFi+nyp6C
+8EWnwXA6XCgVDy8F44TuUy92+yPPRzNdJlqGvlc2AeMWH1UcfW62cPFwp09lYH581QFH9PFF2HPR
+++sVGBpkeQ788A+BP/594HidOFW/X/+fanEAbSkwsFefRckIF0S0Syd4EoBbYK3BVsdkvC6UsiBx
+Tcm+JbQIYqFylSdoPq0ZYUG9eAA9XhstsbGLkcQlUBkHw8Zgka1gWGawsN6AFbhzDeNgAD7fJ1ml
+BDuC0WrydmzrzOkgGkwL3aq12AQa0CsH+j0WaWziovAmIm5h9S5SAmwf/l373/7rf0lunfB+5LOk
+sIC/ZV/D737j78jNzT/2SdBYGIKNFE7HERYPd3StRlAiRQCYQNmxJVkMIB6XgBdIO6bUhq8J0CIT
+VPuxgMwkCYOuTcnf9VPfTMtYw0oJs92ZWye9M/PHEQGcKkbEm5o+ew/25jeBH/8DtGUP1Y1DT8ZT
+pcInE0dm+rorlgeXCKZLj2OxrT2CRZSKGMwwBt7KqHjiWaFMrrqC4WPa+PAolc/S3NJoYLof3siE
+ys/PTRxba15PCfQgeATcAQS3VMACFLr6rEx+T0k/FEo2xifuP8kcC0kVORQwjLuABv8Yhtgd/6da
+UWv1MYrEgn8pP9SZIfhsWuUcUoBcZaLRaFZhOv0Q/95v/Iv4N+Xtn3bOfFLy2VJYAPCb9qfwxh/9
+luyf/+M/N/MgRNhmK8xspomFWSir5qUpAES8rbw27swiyeUPxEJkhiuYCoC0coQ0u71BIWMSNN+s
+GmAFsvFY3MmFfvi9jPVmrfaFXrSXaXxUheV1Tj3akwvLoSKTNcizt9He/RHaG9+DPn0HJgtMGmBl
+sDTX96DT5A0Syrx2hVfukjCehQFqEO7YYLFykfEA3TKBv56hJMJRRsBmttpaAkrg72kY0yMeiWcN
+ZYlM79Pp0uFcZbBqjWwdeXy4hRSKeWwTFgos7xDdGqvVmT/IGNJqdSjFamRX/+OL5NeP7GqWDPVz
+JzTDLC3dQMwLCrxGk2VdOv0Q/+5/8S/jz371jdMne5/y2VNYAPCb9lU8+sHfkMdv/droZH1cccZS
+Bi6HieauBrE8NRSWAWjAssCa77JpDWSqPQKjCpuIF2vGMAAtg3HCAk7FUiNgLsB84d2DgHR1HLn9
+ER+NmFMcV5aWiBAawLrKD3nEInRLxTsRWT0A+yvIs/cgzx+hXj+GXj0BHr8N2V97eY7SxWAvxfUY
+O/pbtLD+EkmjYqcbEOsgNQDBg/VgdckFlvV1MZY1XOATbiYZyP7MyE0Vb4WFN2T/UgneugmsfVGK
+SsICWgDb0kIHlJZSizzI+PUTC/OUGt2U1g8BoFK8LKkdl9tjjOZVZSb5jO1EiZuA5Ht0Bd2s9XZ6
+jM0lX5bERuqWtE2738Wv/KV/Ff/tZ8eyCvlsKizwef8P9hflW7/1H2LeDrvfxzii1HhsHmOIFDj5
+yz1eEDsfd59GZcBFoyLel1glE3UKxhtmj3c5uhieyo8F0bxPokyFMSgqs7JlYBgI2uE1uv5DZFRY
+yoXGpER0Arr7e5JxkunmKeSDt9EevwF79DrwwbvQ4zO0UshUUtHbxAca23fxu5q4ukWjaIUWnrEu
+L55DfpCB6zFTFnGdekR0V7bmvFw9dhMLMf4e/KxUILERTTSe4m9XqhELu61ZgM7woOt5p+J4KQNa
+jDXjTqmwhHFQv5l+TRgVVoOePF9D8zk0fi7cxZOpr2IQBWptPZwQU2iMuWnXnEqCv7a/QsAlEO4s
+zKslVNB++df+S/sr//F/IsCCz6B8ZhXWIF/Hb9p/Jd/+rX/txYrLBncvYlMsIQEAm/IzACccqWiE
+CkvGGFWwV9YDEF1SwgLIYD2Q2SEME02Fgc5Qhu4WKndlxyBtslYOunEW0+Yk/9ACqQf/3LR7saUl
+ZKNI1xbp8kBcEWqJbjm+yoStoaw1zM8eoz15E/rohzi8/X3o8XlQinGsFCJO1NdIGtfv0xcdILdg
+CD42SgZM7RmuoWZPFZ1umEHyeFRt5KYa6V5SuQABhfCX41r628IejAY/rlc9aH+O3EROA+oArbmw
+5Ia3w/oRus4tKWTGD/L+mn/LJIL/lmGFiJtqi/tNE2dt1dFlTZfROswHMKcwCoVkQJl2iE45LXjv
+eRMmAKadx6wOV8jWXdnM14Av//LfxP/8F/4dfAagCy+TfxQUlstftl+F4c/hne//unzwkz+JMk99
+5zIENbJbQ7Rs0tLwgGK4hLmzxK4ewLtmUBw5wWdmUEhPk6iHNozasLPHn3E5kFW2RRuAUnwyTTMp
+atTjO8E7Fen3Vp1Pa7PDiywkaXRV4zuV9B8QB99OG0eft2uvQzseIDdPII/egH3wOuzxO5DFudiC
+eM9WcAkg8QLZVm2I9ZjTAElwjdGSMiLDRYdYSigsQi9cX7CVVpkYjuGGMMQCs7iYn+9jrbkZGa2D
+rjwckNoJ74iEl6CjRj++DfdlYOYyNpk4YOC0XANoRXcJx2lg/bdSt5qiKyzeijEhEvGuhlFBDlad
+jbnp4bPhJtdjd3MFbsHzkRmTFxIbx8S5vDQvaIdBrC22ffgTvPrV/xG//p/9Bv5t+b07J9pnTP7R
+UVhdLvHf268C+Gcg+Ofk8Y9/xW6eP0S93gHwrjfk6XZczwxjA9NVWQiAQMdbJW4HgNriXNbi/eUy
+5cwd2VHF4RYNqPKolKfbgHzHeFwFpkLero13z4F6nKca23dJWgBSJm+IUcoqXp0Koy5+P7HDLxWC
+A0wmyHTp93u4hjz6MfD6t2BPXoftryBVEIyoCQ+wQZmvCHBLty7G8+fnSiosv2xCFaL+M42i7hKm
+Xgl3hy5g6ikoDM3zAGHFxRijjw+A4drDreEVqj/77O9XG2TqCsuM546MXwT4QzEhXo87jutUlsb4
+3EmDaMTqRcVF0DsrDftQuJm189iSYYA7REG1FFdWtoxEpa7sysSg+YIVcJRKN65HrLqy2lw6hff+
+cAPRZ/bq176LX/31P5z+5D/7jeXPye8DuMJZznKWs5zlLGc5y1nOcpaznOUsZznLWc5ylrOc5Sxn
+OctZznKWs5zlLGc5y1nOcpaznOUsZznLWc5ylrOc5SxnOctZznKWs5zlLGc5y1nOcpaznOUsZznL
+Wc5ylrOc5SxnOctZznKWs5zlLGc5y1nOcpaznOUsZznLWc5ylrOc5SxnOctZznKWs5zlLGc5y1nO
+cpaznOUsZznLWc5ylrOc5SxnOctZznKWs5zlLGc5y1nOcpaznOUsZznLWc5ylrOc5SxnOcvnV/5/
+fl07WlwHHbMAAAAASUVORK5CYII=
+"
+ id="image1359"
+ x="90.934036"
+ y="19.464371"
+ style="stroke-width:2.71808" />
+ <text
+ xml:space="preserve"
+ style="font-size:12.7px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:0.264583"
+ x="169.47803"
+ y="66.103424"
+ id="text4115"><tspan
+ sodipodi:role="line"
+ style="font-size:12.7px;stroke-width:0.264583"
+ x="169.47803"
+ y="66.103424"
+ id="tspan4117">User-facing application</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12.7px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:0.264583"
+ x="73.229004"
+ y="183.68733"
+ id="text4115-3"><tspan
+ sodipodi:role="line"
+ style="font-size:12.7px;stroke-width:0.264583"
+ x="73.229004"
+ y="183.68733"
+ id="tspan4117-6">Database</tspan></text>
+ <text
+ xml:space="preserve"
+ style="font-size:12.7px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:0.264583"
+ x="266.38498"
+ y="182.65727"
+ id="text4115-3-7"><tspan
+ sodipodi:role="line"
+ style="font-size:12.7px;stroke-width:0.264583"
+ x="266.38498"
+ y="182.65727"
+ id="tspan4117-6-5">Filesystem</tspan></text>
+ <image
+ width="65.039139"
+ height="65.039139"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAgAAAAIACAYAAAD0eNT6AAAABmJLR0QA/wD/AP+gvaeTAAAgAElE
+QVR4nO3debxddX3v/9fnG4aQAYgQhqiAcQASLWIcqqBYxKEK1TpUH3qx2sd1eLS92tbWsbe1va11
+6PWn1qpwb2uLQyveqjU4IbaCwWolCMUEEA2DSoCACZBAmL6f3x9rHziJCRnY53z32ev1fDzWI2ft
+nCw++3D2+r7XWt8BJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmS
+JEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmS
+JEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmS
+JEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmS
+JEmSJEmSJEmSJI2iaF2ApKHbG5gzaX8PYP6k/QD23+rfbABy0v6twN2T9m8D7hhijZIaMwBIbc0H
+DgEOBPYb7O8HzAXmllL2Hbw2NzPn0jXc8yb+HlhA19jvPc1130EXCtYDmwbbRmBDREzs31prvXnw
+fZuAm+mCxc3AjcB1g31JDRgApOGaCzyYrkFfOPjzkFLKgcCBmbkQOHjS3013wz1q7qALA+uA6yLi
+RuDGWus64PrB6zcOtp/RBQlJQ2AAkHbNAmAxsAg4tJSyGFicmROvHYKfq6m0GbgWWBMRa2qta4C1
+E68BVwG1XXnSzOGJStrSHODIwfaoUsoRmXkYMLH1/Yp91N0BXANcExHX1FqvAn4IXD7YbmtYmzRS
+DADqq4kr+aWllCV0V/FLgaOA0rQyTaW1wKrB3YPVwCq6OwdXsmUnSGnsGQA07g4AlgHHllKOzMyj
+6a7uF7QtSyNmPXB5RKyutV4OXASsBG5qW5Y0dQwAGif7AY8BlpVSlmXmMuBo/D3X7lsLrMzMlXSB
+4D/pOidKM54nRs1U+wOPxsZe089QoLHgyVIzxcOAp5VSnp6ZT6N7fi+NijURcV6t9ZvAuXSjEaSR
+ZgDQqFoMHF9KOS4zn0kXAKSZYm1ErKi1ngOcD6zGToYaMQYAjYqjgRNKKU/LzBPoxtRL4+LaiPhm
+rfU8ujsEl7UuSDIAqJU5wFNKKadk5gvoxthLfXF9RJxda10OnE03PbI0rQwAmk6PAF4QEc8Fjgf2
+bFyPNAruBFZk5leAzwM/blyPesIAoKm2FHhJRJxMNx5f0v1bHRHLa61n0fUfsO+ApoQBQFPhmFLK
+yzPzN4AjWhcjzWBXRcSZtdZPAf/VuhiNFwOAhuWhwAsj4pXA41oXI42h1Zn5WeCTwI9aF6OZzwCg
+B+IA4GUR8XLgyfj7JE2HBL6dmZ8G/hn4eeN6NEN5wtbuWFZKeW1m/je63vyS2rgjIr5Yaz0dOKd1
+MZpZDADaWfsBp0bE6+k69mnmuBPYNGn/Dn5xWdw5bLnU8VxgrymuS8N1SWZ+DPgUDivUTjAAaEeO
+LqX8QWa+HK/2p8ptwDq6W7m3AhsjYiOwAbi11roR2AjcMnht46RtA3D34N9NuGvwd8M0jy2Hbc4H
+9qBbk2HeYJtPFxT3BeaVUiZe2z8zJ76eBzwIWIi/T1NlU0R8qtb6fuDy1sVodBkAtD3LSilvHDT8
+s1oXMwNtBq6lWzhmfURcW2tdS7fs7L2vT9rvo33olmVeABxKN/vjglLKocCizJz8+kK6wKGdV4Ev
+Z+a7gP9oXYxGjwFAkwVwckS8mW6iHm3bncA1wNURcVWt9SrgauBKukVgrqU7+Wp4ZtEFgcPp1oU4
+opRyeGYeMXjtMHxkcX/Ozcz3Al/BeQU0YADQhOdFxLuAX2pdyIj4Od2ELJdNauCvomvk12IDP2oK
+XUA4YrAdXko5IjOPApbQPXYQXJiZ7wC+2roQtWcA0C9HxF8BT29dSCPr6Rr6VbXWNXSrtq0C1rQt
+S0O2gK7z6pJSyuLMXEoXDB5GP8+D387MtwHntS5E7fTxF1+dpYOG/5TWhUyTW4HvR8TKWutquob+
+UroAoP5aQBcEji6lLM3Mx9FNZDWvbVnT5guZ+Xa6z4J6xgDQP3OAN0fE2xjfZ6Z3AVcMGvsVdPOp
+X4q37bXzFgHHlVKOz8xlwLGM76iFuyPiI7XWP2bL0SQacwaAfvmNiHg/8ODWhQzR3cBFEfG9WusF
+wAV0V/d3ty1LY2YPukcIy0opj8/MJwLHMF4jE36SmW+kW5FQPWAA6IcjIuI04FmtCxmCu4HvRcR5
+tdZzgRV41aI25gPHl1JOyMwTgMczHoHgy5n5euAnrQvR1DIAjL+XDBr/Ba0L2U13AxdHxDm11vOB
+b9FNfiONmrl0a2IcHxHHAU9ly9kVZ5KbM/N36RYe0pgyAIyv/UspH83Ml7UuZDdcMVgP/avAt9ly
+GltppphL14/gOZl5MvDI1gXtqoj4RK31d+lmodSYMQCMpydGxGfpJkeZCe4GvpWZXwKWAz9sXI80
+FY6km2jreXR3B2bK44KrMvPFwMrWhWi4DADj52UR8fd006yOsp9HxDdqrWcBX8Tb+uqXucCJpZST
+M/PXgENaF7QDmzPzdcAZrQuR9ItmlVLeExE5wtuGUsrfAyfh+gLShFnASaWUj0fEhhH4nG5vq6WU
+d9HNuihpROwVEZ8bgRPEtrbNEfEvwIuA2a1/UNKImw28ePB53jwCn99tbZ9lfOcQkWaUvSPiX0fg
+pDB5uyciVgBvBA5s/QOSZqj9gFdGxPKIuGsEPteTty8z+o8ZpbE2JyK+PgIng4ltfSnlg3SLsUga
+nkXAOyNi3Qh8zie2b9KfKZOlkbJnRHxtBE4CGRHfA16Ft/ilqTYbeHVEXDACn/uMiK8wc0YzSGMh
+Sil/3/iDf0cp5Uy6Dn2Spt+yUsppEXFby3NBKeX/tv5BSH3ypw0/8LeXUj4AHNr6hyAJgEWllA9F
+xO2tzgvAO1r/EKQ+eHZE1EZX/KcxXgsJSePkoFLKuxsFgXuAZ7b+AUjj7KCIWDvNH+w7SylnAItb
+v3lJO+WhpZQPxvQPI7yO0Z/QSJqZIuLL0/yB/jxweOv3LWm3PCwivjjN54zlrd+0NI5ePI0f4p8B
+L279hiUNxSkRcdV0nT+AX2/9hqVxsk9EXDkNH967BuP457d+w5KGag7dPAJ3TMN55OrBf0/SELx9
+Gj60FwPHtH6jkqbUYyPiv6bhLsBbW79RaRzMjinu+Dfo5Gdil/ph9qCT4FSGgOtxqmDpAXv9FH5I
+NwOntn6Dkpp4VUzhIwHgda3foDSjRcRFU/QBvQk4vvX7k9TU0yLi51N0jvl+6zcnzWRHTdEH8+fA
+41u/OUkj4diIuHGK7gIsaf3mtH2ldQG6Xy+bgmNuzMwTgQum4NiSZp7vZ+ZzgE1TcGyHE48wA8AI
+i4hnDPmQNTNfAVw05ONKmtkuyMxTgRzmQafgHCb1wt4x5Dm9B4v4SNI2lVL+ZsiPATbjMuHSLnvC
+kD+IP8GhfpLu39yI+NmQ+wEsa/2mtG0+AhhdDx/mwTLzvcBtwzympLGzKTPfN+RjDvVcpuExAIyu
+Ya6+dxfwiSEeT9L4+kfg7iEez5VER5QBYESVUvYb4uH+E9gwxONJGl/rge8N62CllP2HdSwNlwFg
+dM0d1oGiW5xDknZKRFw1xMPNG+KxNEQGgNG15xCP5f9nSbtimOeMYZ7LNEQ2DD2QmXbCkbTTMvMR
+rWvQ1DMA9MMy4KDWRUiaEQ4Gjm1dhKaeAaAfCvCa1kVImhFeh21DL/g/uSci4veBB7WuQ9JIOzAi
+3ti6CE0PA0B/HFBK+VDrIiSNrlLKh/FCoTcMAD0yWAjov7euQ9JIel1mvrR1EZo+BoCeiYiPACe1
+rkPSSHlORHy4dRGaXgaA/tkzIv4VeGbrQiSNhGdFxOeAPVoXoullAOinOYMQ8OLWhUhq6qWDc8E+
+rQvR9DMA9Nc+EXEm8A4gWhcjaVoF8CcR8U/A7NbFqA0DQL9FRPxFRHyNbvIPSePvwIhYHhF/huG/
+1wwAAnhmRFyAnQOlcfeciLgIeF7rQtSeAUATHhIRXy+lnInjgKVxc2gp5YyI+Arw4NbFaDQYALSF
+zHxJRPwAOBVvD0oz3SzgDRFxWWae2roYjRYDgLbl0Ig4IyJWAE9qXYyk3fLkiPhuRHwQ2Ld1MRo9
+BgDdn6dExHci4uvAE1oXI2mnHFNKOTMivk23Eqi0TQYA7YyTIuI/B0Hg8a2LkbRNjxk0/N/PzJe0
+LkajzwCgXTERBD4PPLZ1MZIAODYivhARFw8afvvuaKcYALSrAnhBRHx/MHTwlcCejWuS+mYWcEpE
+fD0iVgLPx4Zfu8gAoAdiWUT8Y0RcU0p5N/DQ1gVJY+5g4C0RcWVEfJFu7g4bfu0WA4CG4ZDMfEtE
+/KiU8k/A8a0LksbMU0sp/xwRP4kIw7aGwtWfNEx7ZebLIuJlwNUR8c+11o8Dl7cuTJqBjqBbrOe3
+gEdlZuNyNG68A6CpcvjgrsBlEbEKeAtwaOuipBF3APDaiFgREWsGV/uPal2UxpMBQNNhSUS8e3D7
+8mzgVcCCxjVJo2IB8KpBh77rI+I04Dh8tq8p5iMATadZdAsPPRO4B7goM88ClgMrm1YmTa/FdL34
+TwaeBuzVuB71kAFArcyiG0WwDPhT4MqI+Hqt9SzgbOCOptVJwzULeHIp5eTM/DXg6NYFSQYAjYqH
+ZeZrI+K1wC3A2Zn5VeCbwI+bVibtnkcAJ0TErwLPAubbkU+jxACgUbQv8OKIePFg/7qI+Fat9Xxg
+BXAh4JlUo2YxcHwp5bjMfBZdL35pZBkA+uEHdBOILGxdyG46ZLBM8cT85tdGxDdrrecC5+IwQ7Vx
+FHBCKeWEzDwBWAQwBlf564DrgUe3LkRTywDQAxHx7Vrr20op78rM1zDzR38sysyXR8TLB/vXAxdk
+5gXAxHZds+o0jg6lWwhrWUQ8nm51zINgLBr8CTUiTq+1vqOU8leZaQAYcwaA/vh5rfX1wOkR8RHg
+Sa0LGqKDgedFxPMmvbYWWJmZK+lGGPwnXVCQdmQ/4DHAslLKssxcBixpXNNU+35m/nZmfqd1IZo+
+BoD+uTAzjwNeHxF/AezfuqApcihw8mCY1YSrgAsjYnWtdRVw2WDb3KA+tTeb7jb+0aWUpZl5NLAM
+OHziG8bo6n571mfmO4DTgNq6GE0vA0A/3QP8bWb+E/CGiPg9uquecXcEcERmvjBiizlW1gKrJgWD
+1cDFwK3TX6KmwN50PfKXAEtLKUsycylwJN3wvD409FvbFBEfrrW+F/h562LUhgGg334OvDMz/7aU
+8ubM/G1gTuuiGjgUODQzT9oqGFwNXB4RV9Var6a7g3A1cCVdaOhdqzGigu7/4cPort6PKKUcnpkP
+o2vkD5v8zT1s7CfbFBF/W2t9X2be2LoYtWUAEMC6WusfAX9dSnlrZr4O2Kd1USPgcLo1DdgqGEA3
+UdE1dIseXV1rvYr7wsFPgRuA26ax1nE2h67D3UMYNPKllCMy83C6uzqHsdVMej1v5Lfl9oj4WK31
+PZlpXxgBBgBt6fpa6+8D7yulvCkzf4vx7SPwQO0NPBJ45HYCAsAmutEI1wPrIuJ6up/xOrqAcB3d
+kKsbgL5djS2ctB0CHFRKWUg35HNiyOpBg7+bu/U/toHfaRsi4u9qrf87M9e2LkajxQCgbbm21vom
+uil6T42I32X8e0FPhbnAwwfbvY3WdsLC3XR9DjYAGye2iNhANzPiRmBjrXXr77kVuHnSce6kCx4T
+bmfLTo4bgbu2U++ewLxJ+7PZ8k7QXLa80t5/8P0T2/6llPnA/MH+/Mz8he8Z/P0vnHts1IdqVWZ+
+GPhEZm7a4XerlwwAuj8bgY9m5kfpZjh7Q2b+Ov7eTIU96FaF22KVxK0bxe2Eh5FhI95UBf4tMz8E
+nIV9VLQDnsi1s1bUWlcAi0spv52ZrwYe1LooSdwUER+vtX6Erg+KtFNm+oxwmn5raq1/mJkHZeYz
+I+IT2NlNmm53AGdl5m9k5qJBJ14bf+0SA4B21z3AObXWV2bmosz8TeAcvO0oTaWVmfl7mfmQzDwF
++Cxdvw9pl/kIQMNwM3BGZp5BN1TrRRHxKuCxTauSxsNlmfkZ4BO4NLaGyACgYfsp8MHM/CBdAHhB
+RLwAOKZtWdKMcnFmfgH4AnBR62I0ngwAmkoXARdl5jvpJnD59Yh4PvAU/N2TJrsbOD8z/5Wu0fd5
+vqacJ2FNlyuB92fm++nGk59YSjk5M59L99hA6pt1EfHNWutZwHJgfeuC1C8GALWwCVhea11ON4/7
+scBJEXECcDywb8vipClyC/CtzDwP+Drd3TE7zaoZA4BaS+BCumWK30u3OttRwHGllJMy80TggJYF
+SrvpVuC7mXkOcD7wXbY/C6M07QwAGjX3AKuAVbXW0wevLQZOGgSCXwEObFadtH23AP85aPDPAb5P
+NzufNJIMAJoJ1gCnDwJBAEuBJ5VSlmXm4+hGGMxuWaB6ZzNwcUSsrLVeCHwHWI3zYGgGMQBopkng
+B8APaq1/N3htD7p135cNQsEyun4FcxrVqPFyF3DFoLFfCawEvgfc4SN8zWQGAI2Du7nvscEZg9f2
+AI7mvlBwDN2KhvYn0P25CVgdERcNruxXApcCd9vYa9wYADSu7gYuAS6ptf7DpNcX0PUpWFpKWQIs
+zsyldHcQZk17lWplLbAqItbUWlfTBcg1g81VDdULBgD1zXq6q7qVtW7RP2s2XQg4CjiqlHJ0Zj4S
+OAw7Hc5UNwLXRMQVtdZL6a7kLx9sm8GGXv1mAJA6m4GLBxtbhYO9gQfT3TlYBBxaSlmcmRP7R2B/
+g+l2F10Df21ErKFbpXItcC3dVfyP6NaosJGXtsMAIO3YHUy6PQy/EBBmAYfQBYHDgENKKQuBgzPz
+QGDhYDsYmD89Jc9YtwLXA+voZsq7Ebi+1roOuA64BriK7hZ+BRt4aXcZAKQH7h7gZ4PtfPiFgDDZ
+3mwZCA4EFpZSDhx8PTcz5wLzgP3ppk2eSxcc9mX0+yncQzce/ha6GR9vAzYAGyNi0+C1G2utN9I1
+8jcyqcGnC1v3snGXpo4BQJped9CtmPjTyS/eT2DY2my6QLAfXSiYy32PH7YOCPPZ8jO+xX4pZd7g
+v71x0vfcTXcVvr39iQYeusZ90+Dvbx58vXl7hduYS6PFACDNLJsH200P9EC7EDokjaHSugBJkjT9
+DACSJPWQAUCSpB4yAEiS1EMGAEmSesgAIElSDxkAJEnqIQOAJEk9ZACQJKmHDACSJPWQAUCSpB4y
+AEiS1EMGAEmSesgAIElSDxkAJEnqIQOAJEk9ZACQJKmHDACSJPWQAUCSpB4yAEiS1EMGAEmSesgA
+IElSDxkA+mGv1gVImlH2bl2App4BoAcyc1HrGiTNHJ4z+sEA0A+/jHcBJO2cvenOGRpzBoB+2Bd4
+busiJM0IzwPmty5CU88A0BMR8XYgWtchaaTF4FyhHjAA9McTgNe0LkLSSHs9sKx1EZoeBoAeiYj3
+AUe2rkPSSDoqIt7TughNHwNAv+wbEV8GDmxdiKSRckBEfBGf/feKAaB/FkfE14ADWhciaSTsHxFf
+AR7ZuhBNLwNAPz1uEAIWti5EUlMHR8S/0/URUs8YAPprWURcCDy2dSGSmnh0RHwHzwG9ZQDot4dE
+xLnAb7QuRNK0ellEfBs4onUhascAoH0j4jOllI8Bc1oXI2lKzSmlnB4R/4Qd/nrPACAAMvN1EXEJ
+cGLrWiRNiedFxKrMdD4QAQYAbWlxRJxTSvko8KDWxUgaiodExOci4iy85a9JDADaWmTm6yPiR8Ab
+gVmtC5K0W/YA3hgRq4Ffb12MRo8BQNuzICI+MHgs8EoMAtJMUYCXRMQlEfEBfNav7TAAaEeOjoh/
+jIj/wiAgjbIATomIlRFxJnBU64I02gwA2llLBkHgYuAluLKgNComGv4LBtP5Oq5fO8UAoF21NCLO
+jIjvA/8dhw5KrcwBXhMRFw8a/se1LkgziwFAu+uYiPg/EXFtKeU04OjWBUk98fBSyrsj4pqIOB14
+TOuCNDMZAPRA7ZeZr42IH0TE1+keD9hPQBquApxUSjkzIi7PzLfggl56gPZoXYDGRgFOioiTgB9l
+5ieATwM/aluWNKM9AnhFRJwKPDwzW9ejMeIdAE2FR0TEn0XEFRGxCngLcEjroqQZ4kHAayNiRUT8
+MCLeCTy8cU0aQwYATbUlEfHuiPhpRKwAXovjkqWt7UM3dn95RFwXEacBx+FoG00hHwFouswCjouI
+44D/D/hqZn4J+BJwfdPKpDYOoZuf/3nAs3FEjaaZAUAtzAFeGBEvHOyvjojltdazgPMBH3RqXC0F
+To6IU4An411YNWQA0ChYkplLIuItwM8i4kuDMPBvwKbGtUkPxFzgGaWUkzPzecCi1gVJEwwAGjUP
+HgwrfC1wN3BxRJxTaz0fOA+4uW150v2aAzwFOH7wuOupwN723tcoMgD0wx3A3q2L2A17AMsyc1lE
+QBcIvhcR59ZazwVWABtbFqjemw8cX0o5ITNPAB7PeJxXZ+o5Q7tgHH5RtQMRcUat9byIeB8zezje
+HsCTM/PJEfFWukCwMiK+VWv9HrAS+HHTCjXuHg48vpTyhMx8Kt30u3uM0RX+dZn5h4NA85rWxWhq
+GQD6IYFPZubyUsqfZ+bvMB6z9e0BPCkznzS4QwBwC3BJRKysta6kCwWrsWOhdt0iYBmwLCKWAU8C
+FgKMUYM/oUbEp2qtvw/cBDytdUGaegaAfrm51vpG4B8i4qN0J7Rxsy9wXGYeNykU3ABcMAgFFwI/
+AK4E7mlUo0bLLGAx8OhSyrGZ+Xi6W/kL25Y1bf4jM387My9qXYimlwGgn76fmU8BfjMi/gQ4onE9
+U+0g4LmZ+dxJoeAO4LKIuLTWuhq4lO5OwRXAXW3K1BTbE3gksAQ4upSyNDOPAo5i8Lx7DK/s78+V
+mflnwCeA2roYTT8DQH9V4OOZ+SngtyLi7cBDG9c0nfYGjsnMYyaFAuga/x/RzU1waa31UuBqujsG
+a/FRwqgL4FDgYcARpZSjMvNoukb/EXQhAOhdYz/ZNZn5l8DHMez2mgFAdwIfy8y/B141uCPw4MY1
+tbQn3dLGR2cmW4WDO4GfAmsj4lpgTa11DTCxXUPXMVFTawHdLfvFwOJSyuLMXETX8B9FN/Ye6HUj
+vy03ZOb7gQ8Cm1sXo/YMAJpwJ3D6YBW/10XEm+lOqLrPXgwanYmGZRt3D35CFxJuiIjraq3r6Pog
+XAdM/vrW6St7RphP9/u2cLAdAhxUSlmYmYcAB9MF04cy6SoebOR3wrWZ+V7gNGz4NYkBQFu7HfhA
+Zn4EeHFEvIHx7Cw4FfbkvitTtnEHYbLN3BcGboiIdcAGYGOt9Va6CY9uoZvnYCNdYNgw6evbpu5t
+7Ja5wLzBtj9dgz6xvy+wfynl3r/PzIV0fTMmGv3Z2zqojfsD8p3M/BDwL3QBX9qCAUDbcyfw6cz8
+NPCEUsobMvMlODnIsMwGDhtsWzR09xMaJqvcFxLuoQtuk6/uNrLl892bI2Kio1fShYnJ9mew8lxm
+FmC/SX+3J13DPbn2feh6z+87+N4dzmlvYz4t7oiIM2utHwIuaF2MRpsBQDvje7XWU4E3Aa+OiN+h
+Xx0GR1Ghexa+YGf/gQ3wWLsuIv6x1vo3mfmz1sVoZnAlKu2KG4D3ZObDM/NFwBfw1qLUyh3A5zPz
+hZl5WK31rYCNv3aadwC0O+4CPpeZn6O7dfxrEXEq8AwGt5ElTZmVg866n6brWCrtFgOAHqgNwBmZ
+eQbdY4GXR8SrgSPbliWNlasj4p9rrf+Xbp4K6QEzAGiYfkL3iOA9wJNKKS/NzBfQTcoiaddcGRFf
+qLV+BviufTg0bAYATZXv1lq/C/wBsBQ4OSJOoVsr3ccE0ratjojltdazgPPTVl9TyACg6bAKWDW4
+M/Aw4Fcj4leBE4E5TSuT2toE/FtmfgX4MnC1bb6miwFA0+1K4CODiYZmA08rpfxqZj6Tbr527w5o
+nCWwKiLOrrV+FTiPrje/NO0MAGppM3B2rfXswf5C4JdLKcdl5knAsThUVTPfmog4p9Z6DvDvwI1e
+5WsUGAA0StYBy2utywf78+k6E56UmccDT2SreeClEXMPcHlErBg0+P8G3GSDr1FkANAouxWYuHKC
+bsrZ40spJ2TmE+nuEOzbrDqpm4r5woj4bq31PGAFcIsNvmYCA4BmkpuBL9VavzTptUXAMmBZRCwD
+ngwc0KI4jb1bgf+KiJW11pXASuBSoNrgayYyAGimu3awLZ90Et46FPwycGCb8jRD3QJcYmOvcWYA
+0DjaOhQE3fDDI4ElpZQjM/Mo4GgMBn23jm7s/eW11svoGvnL6UaruICSxpoBQH2QwJrB9pVa6+S/
+WwAsBpaWUpYAizNzKV1YmDXdhWrKrKUbfre61rqK7nfhB8B1YEOvfjIAqO/W093eXblVMNgbeARw
+OHBYKeUw4KGZeQRwGN1jBj8/o+FuulXwromIq4Braq0/Aa4BrgZ+zGCsvQ29dB9PYNK23cFgBkOA
+rcIBdJ+dRXRh4N6QkJkPBR5MN6fBgXRBQrtvM3Aj3a36n0XE1o371XRX9/eADby0KwwA0u65m64R
+uoZu6Ne2QgJ0wxQP5r5AcCBwSCllIXBgZh4IHDL4+33p5j4YZ7cMthuAGyJiHXBjrfWGwWvr6Br8
+if1bJ/9jG3hpeAwA0tSaaPCumPzidsLChP2AuYNtIhRM7O8PzAPmllIm9mczaU2FzNxr8L0Ttt7f
+c3CMyTYCd03a3wTcOXk/Iibv30Z3db6h1rpx8P2b6B6pTHy9kW7o5sT+LVu/URt0qR0DgDR6bh5s
+92sHIWLobKyl8eI865Ik9ZABQJKkHjIASJLUQwYASZJ6yAAgSVIPGQAkSZDCqiQAABVySURBVOoh
+A4AkST1kAJAkqYcMAJIk9ZABQJKkHjIASJLUQwYASZJ6yAAgSVIPGQAkSeohA4AkST1kAJAkqYcM
+AJIk9ZABQJKkHjIASJLUQwYASZJ6yAAgSVIPGQAkSeohA0A/+P9Z0q7wnNED/k/ugcw8sHUNkmaO
+zFzYugZNPQNAPzy6dQGSZpTHtC5AU88A0A+PAJa2LkLSjPAYYHHrIjT1DAA9UUr5/dY1SBp9pZQ3
+ta5B08MA0BOZ+Urgl1rXIWmkPTYzX9G6CE0PA0B/7BkR/wDs1boQSSNp74j4OLBH60I0PQwA/XJs
+KeW01kVIGj2llNOBx7auQ9PHANAzmfkq4E9b1yFpdJRS/tfgMaF6xADQQxHxTgwBkri38f/j1nVo
++hkAeioi3jm45bdn61okNbFHKeXDNv79ZQDoscx8TUR8CXCmQKlfFkbE1zLzd1oXonYMAHpmRPwA
+eGbrQiRNixMi4vvAia0LUVsGAAEcHBFfKaX8FTC7dTGSpsS8UspfR8Q3gAe3LkbtGQA0YVZmvnVw
+ZXBc62IkDdULImJ1Zr4JmNW6GI0GA4C2dlREfKuUcibw0NbFSHpAHhwRn42Iz+PnWVsxAGhbIjNf
+Mugb8HZgXuuCJO2S+cD/jIjLgRe3LkajyQCg+7NvRPxlRFwFvBPYt205knZgLvDGiLgiIv58sC9t
+kwFAO+OAiPjTiPgx8BZgTuuCJG1hDl3D/6OI+ABwcOuCNPoMANoVB0bEuwdB4E3A/q0Lknpuf+AP
+I2LNoOE/pHVBmjkMANodh0TEX0fE2lLKGcAxrQuSeuaoUsoHI+KnEfE+vOLXbjAA6IGYnZmnRsRF
+EXEB8EqcWliaKrOAUyLi64MhfW/AZ/x6AAwAGpZlEfGPEXEl8D+Bw1sXJI2JI4A/jYhrIuKLwElA
+tC1J48AAoGF7cET8eURcNbgr8EbgoNZFSTPMAuCVg6v9NYMVPBc1rkljZo/WBWisLYuIZcD/Bv49
+Mz8BfA7Y2LYsaSTNBp5ZSjk1M58P7NW6II03A4CmwyzgpIg4CfhwRHyh1vr/gHOA29qWJjU1Fzip
+lPKizHwBMD8zW9eknjAAaLrNH3QcPBXYDKzIzLOAzwPXtC1NmhaHA88upZyUmb8KzLPRVwsGALU0
+m/vuDHwAWBMRZ9ValwPfBO5uWZw0JAU4lq4H/8nA4+im225blXrPAKBRsjgz3xARbwDWRcTZtdZz
+gXOBHzauTdoVRwJPK6U8PTOfBRzYuiBpawYAjaqFmfmKiHjFYP/6iDiv1no+sAK4EPASSqNiMXB8
+KeW4zHwOcBiAV/kaZQaAfvga3bjhZ7Uu5AE4eLBC4UsG+9dFxLmDOwTnAZcB97QrTz0yCzia7gr/
+hMx8GoMpeMekwT+bLlw/u3UhmloGgB6IiKtrra+jewb5YQZXJzPcIZn50oh46WB/I3BxRKysta4E
+VgKXArVZhRoXi4Bl3Des9Ti6cfrj0uBPuDYz3wacUUo5bczem7bBANAvyzPzG8CbI+JtjNc443nA
+cZl5XMS9k6TdCvzXVqFgNT460PZt3dg/GTigbUlT7u6I+Eit9Y/pPjPqCQNA/9wGvDMzPxsRHwJO
+bF3QFJrPL4aC9cCqiFhda72ULhBcCvykUY1q4zDgKGBpKeXozFwCLGFwZd8j52TmGzNzdetCNP0M
+AP21KjOfARwfEX8O/ErrgqbJAuD4zDx+UigAuAP4cUSsqrWuBlbRhQP7Fsxsi+ga9qWllCWZuRR4
+DLDvxDf09Fb3tzPzT4BvtC5E7RgAtCIzT6QLAn8BnNC6oEb2BpZk5pKtgsGdwE/p5ihYC1xba10D
+TGxXY0BoaQFdD/zFwOJSyiLg0MxcTDcUb97EN/a0od/adzLzXcDy1oWoPQOAJqzIzKcDz42IPwMe
+37ieUbEXg8ZlogHZxp2Da4CrI+KqWuvVdI8T1gHXAdcPvr5zGmseB3sDC+nWuT948PVDSylHZObh
+dCvkHcZW/Vhs5Lfre5n5p8BXWhei0WEA0Na+nJlfAZ49mJDn2bhq5P3ZG3gk8MjM3DocTLaBLhCs
+A26IiOtqreuAGwav3wDcQjeaYQNdZ6xxmQlxD7r+GPsP/tyXrkE/FFhYSlmYmYfQrRq5kG5I3f7b
+OpAN/C6pwFcz80PcN7RPupcBQNuSdCeOrwKPLKX8Tma+mknPTbXL9h9sR0HXkN1PWJiwmS4Q3ALc
+TBcKNkbEvSGh1roRuH3w/bcP/s2EjcBdk/Zv5r5hkTk4xkRtE8UUYL9J/2ZPJt1Gp5u+eZ/B1/uU
+UuYxaNwzc+LreYNj7Dv4evb9vUkb9aG7OSI+Xmv9W+BHrYvR6DIAaEeuqLX+HvDHwMsj4n8Aj25c
+U1/MHmxbTCM7ucHciRAxpWy8R8oVmfl3wGmZuWGH363e89audtZG4PTM/KXMfDbwL3TPvyW1sxn4
+f5n5zMw8EngP993Zke6XdwC0qxI4OzPPprvN+/zB0r7P4L7byJKmTgX+IzM/C3wKuLFxPZqhDAB6
+IG4GzsjMM4CHAC+KiN+kW/pU0nBdmplnAp8Afty6GM18BgANy0+BD2bmB4HHlVJOzczfoJuIRdLu
+uTYiPlNr/STdCpjS0BgANBUurLVeCPw+sBSYWMVvSduypBlhTUScVWv9LN2MfS5opSlhANBUW0U3
+7fA76ZZQfX5EPJdukRV//6RuvodvD+bf+AJwmaMrNB08AWs6XUr3HPPdwFzgxFLKyZn5XLo+BFJf
+rIuIb9Zaz6Kblnd964LUPwYAtbIJWF5rXU43euDRwNMj4gTgaXQzwknj4gbgvMw8D/gm8IP0Ml+N
+GQA0ChK4BLgkM/9m8Npi4KRSyvGZeQLdvO/STHE93fz7K4Bz6Drw2eBrpBgANKrWAKfXWk8f7C8G
+ji+lHDeYiOjwdqVJv+C6iPhWrfV8YAU2+JoBDACaKdYAa2qtZwz2FwHLgGURsWzw9aGtilOvrAdW
+R8TKWutKYCVdR9fGZUm7xgCgmerawbZ80onXUKBh22ZjD66DoJnPAKBxcn+h4JhSypLMPAo4EpjT
+pkSNqNuAyyLi8lrrauBiusb+WrCx13gyAGjc3RsKat1iPpVFdBMTLS6lLM3MJXT9DB6GaxqMs/V0
+E+2srrWuAlbTXdFfBVQbevWJAUB9NREM2CoYLACOAo4upTwSODwzD6PrdHgoMGua69SuuQdYC1wd
+EVcD19Rar6Cbg+IyBuPtbeglA4C0tfXAfwD/sVUwmLCA7k7BYrq7B4uAQzNzMfAIuhUSNXU20wW3
+NRGxFri21rqGQSdR4CfAXWAjL+2IAUDaNevpng2vhF+4ewBwAHAI3URGBw/+PLCUsjAz792f9Gff
+Hzck3XK26yb+jIjra63rJr1+/eDP64Cb7v2HNvDSA2IAkIbrJiY1UhO2czdhFl0ImAgEB9BNkTwH
+2K+UMn+wPxfYPzPnTdpfMOnreUN/FztnI92MjpvogtEmYFNEbAQ2TOzXWm+lWzp64nt/zqQGn259
++3vZsEvTwwAgtXMP3dXt9dv6y+2EhvuzN1uObth6fy+6wDBhz8Gfd016bRNw56T924A77mf/F9iA
+SzODAUAaH3ewg8ZZkiaU1gVIkqTpZwCQJKmHDACSJPWQAUCSpB4yAEiS1EMGAEmSesgAIElSDxkA
+JEnqIQOAJEk9ZACQJKmHDACSJPWQAUCSpB4yAEiS1EMGAEmSesgAIElSDxkAJEnqIQOAJEk9ZACQ
+JKmHDACSJPWQAUCSpB4yAEiS1EMGAEmSesgAMLruGuKx5g3xWJLG3/whHmuY5zINkQFgdG0a1oEy
+84hhHUvS+MvMhw3xcBuHeCwNkQFgRNVaNwzxcE8AFgzxeJLG14OAxw/rYLXW9cM6lobLADC6rhzi
+sfYEXjnE40kaX68C9hji8dYM8VgaIgPA6PrRMA8WEX8EzB3mMSWNnXkR8YdDPuaPh3w8DYkBYHRd
+Amwe4vEeXEp59xCPJ2nMlFLeCxw6xEPeDqwa4vGkfoiI8yIih7jdA7yg9fuSNJJeFBF1yOecb7Z+
+U9o+7wCMsMz8xpAPWSLik8CyIR9X0sz2xIg4A4hhHnQKzmFSbxw55DQ+sa2nGxkgSY+LiJum4lwD
+PKr1m5NmrIi4cIpCwM+Bp7Z+f5KaenpErJ+ic8zK1m9O989HACMuMz82RYdeEBHnAL85RceXNNp+
+KyK+Buw/FQefwnOX1Bt7R8S1U5TQMyKylHIGMKf1G5U0LWaXUj44leeUiLgOmN36jUrj4C1T/GHN
+iLgYeEzrNyppSj02Ii6Z6vMJ8Eet36g0LvaOiB9OQwi4czBXwN6t37CkoZoDvDMi7pyG88iP8epf
+GqoXTMMHd2K7BPiV1m9Y0lC8MCJ+Ml3nD+DXWr9haexExBenMQRkKeVM4IjW71vSblkcEcun85wR
+EV9o/aalcXVgRPxsmj/Qdw46CS5u/eYl7ZTDBp38Nk/zueKnwIGt37w0zk6IiLun+YOdEXFHKeU0
+4CGtfwCStukhg4b/9gbnh3uAZ7T+AUh98LYGH/CJ7fZSygeBRa1/CJKAbqGvv2lwxX/vBry59Q9B
+6o1Syt82DAETdwTOBE5q/bOQempZKeW0Rlf8926llP/T+gch9c0eEfHlxiFgYrsAeDUO/ZGm2j50
+M/itHIHPfUbEl4A9Wv9QpD6aExFfG4GTwMS2YfB44GGtfzDSmHkw3Tj+dSPwOZ/YvkIXSCQ1sldE
+fG4ETgaTt3siYgXwRuwVLO2u/YBXRjeU764R+FxP3s7CO37SSNizlPKZETgpbGvbHBH/ArwQTxjS
+jswGXjQI9c069d3fVkr5J2DP1j8oSfcppZS/iIja+gRxP9v6UsrfAScCs1r/wKQRMQs4sZTydxGx
+YQQ+p9vbainlz4Bo/QPTcPg/cvy8JCL+gdFf3e+miPi3WutZwBeBDa0LkqbRXLpG/+TMPAU4tHVB
+O7A5M18DfLJ1IRoeA8B4WhYRn2XmdMa7C/hWZn4JWA5c0bgeaSo8CjglIp4HHM/MuY2+JjNfDHy/
+dSEaLgPA+Nq3lPI3mfnK1oXshh9GxFm11q8C3wY2tS5I2g1zgeNKKc/JzJOBR7YuaFdFxMdrrW8E
+bm1di4bPADD+XhQRpwEHtC5kN90NXBwR59Razwe+hY8LNJrmAk8Gjo+I44CnMnOX1t6Qmb8DfLp1
+IZo6BoB+eEhEfAQ4pXUhQ3A38L2IOK/Wei6wAq9O1MZ84PhSygmZeQLweMZjUpx/HTT+P2tdiKaW
+AaBfnh8RHwIOa13IEN0NXBQR36u1XgBcAKwevC4Nyx7AUuDxpZRlmflE4BjGo8GfcHVm/g+6fjjq
+AQNA/8wB3hwRb2Xm3p7ckbuAKyJiZa11Jd1dgouAe9qWpRliFnAU3Vz7yzJzGXAsoz+yZnfdFREf
+rbW+A9jYuhhNHwNAfx0dEX8B/Dr9+D3YCHx/EApW090lWA2sb1uWGnsQcDSwpJSyNDMfR9fYz2tb
+1rRI4POZ+Q7gstbFaPr14cSv+/eEiPgr+ruW93pgdUSsGgSDVcCawabxsYDuFv6SUsrizFwKLKEb
+KtvH8+D5mfk2uk616qk+/uJr254ZEe+i68gkuIkuGFxWa70KuBq4arCtBWqzyrQthW4ynSMmtlLK
+EZl5JF1DP1NHwQzb9zLz7cA5rQtRewYATRbAsyLiLcCvtC5mhN0JXANcHRFX1Vonh4OrgGuxv8Gw
+zaJbEe9wtmzgDx+8dhiwV7vyRt43MvO9wNmtC9HoMABoex5bSvmDzHw5ztu/OzbTBYG1wPqIuLbW
+upbukcO9r9MNterrvAaz6Z7BL6C7el8ELCilHAosyszJry9kvHrcT4cKfDkz/xL4TutiNHoMANqR
+Rw6CwH+jHx2jWtgErKN77LAR2BgRG4GbgVtqrbdOvE4XGjZO2m6mCxu3b3W8O4dc4150E91M2Ieu
+Ad+P7vdiYlsw+HN+KWUesC+wX2ZO/p4D6Br0ycfT8NwaEZ+stb4f+FHrYjS6DADaWfOBV0TE6+nG
+P2vmuIUtH0lsb+TDgklfz6JrvDVzXJSZH6Obvc/JsbRDBgDtjmWllNdm5ivwKk5qaXNELK+1no4d
++7SLDAB6IBYAL42Il9OtbubvkzT1KrAiMz8NfIb+9iHRA+QJW8PyELqFh04FlrUuRhpDqzPzs8An
+gB+3LkYznwFAU+GXSikvz8yX0g3ZkrR7roqIz9RaPwVc0roYjRcDgKbaUuDkiDgFeAr+zkk7snrw
+XP8s4Hy6KXulofNkrOm0mG5Fwucys9dKl4bpDuBbmfll4AvAlY3rUU8YANTKHOAppZRTMvP5dLO5
+SX1xXUR8vda6HPga3VBNaVoZADQqjgSeVko5ITOfTjftqzQufhoR36y1ngecC/ywdUGSAUCjahFw
+XCnlpMw8nm5BF2mmWBsRK2qt59A9x1/VuiBpawYAzRSHAycM7hA8DXhE64KkSa6IiPNqrefSXeFf
+07ogaUcMAJqp9gMeQzcr4bLMXAYcjb/TmnprgZWZuRJYCXwXuKFtSdKu82SpcbIv8EsYCjQ8Wzf2
+36FbuEma8TwxatwtAB4HHFtKOTIzjwaOoluRTppwE3BZRFxaa70MuIiuwXeaXY0tA4D6agHdvARL
+SylLgMWZuZRuNMKsppVpKq0FVkXEmlrrarrOeWsGm9QrBgBpS/vQhYAjgUeVUg7PzMOAw+g6Is5u
+WZx2aDNwNXBNRFxTa72absjd5YPt9pbFSaPEACDtmgV0QxQPBRaXUhbT3T2YeO0IoLQrb+ytp7uK
+v3ZwFT9x9b4WuBa4im61PEk7YACQhmsfuiBwEHAgsBA4qJRyEHBgZi4cvHbw4O/3aVXoiLgduBG4
+HlgXEeuAG2ut19N1tls36e+vwyt4aWgMAFJb87gvEMwH9h+8NheYW0pZMPE1MC8z96ebRnku3aiH
+fYE96YZFTqebgbvoprC9BdgE3BYRG4CNg/1Ntdb1E18PXt8A3ErXoN8weF1SAwYAafwEXZCYbMEO
+9tfvYH8DrkonSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIk
+SZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIk
+SZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIk
+SZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIk
+SZIkSZIkSdKU+/8B8UD79J00AfcAAAAASUVORK5CYII=
+"
+ id="image7444"
+ x="42.921436"
+ y="103.45746"
+ style="stroke-width:2.08285" />
+ <image
+ width="48.37225"
+ height="48.37225"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAeAAAAHgCAYAAAB91L6VAAAABmJLR0QA/wD/AP+gvaeTAAAgAElE
+QVR4nOy9a7AkyXXf969HP+69s/PYneUuAO6CFGg+TVs0CYoQRD8UdMghhj8wFIA/2FaQYRsEwCds
+EEsw7Ij54ABpkhGmJQdFrymHRVmUQ7AVlmiSFuWgEHqQBiGQFAmABLUkQljMvmdm78zc2/XM9Ie+
+dae6OqvqZD26qrr/v4mO252VmZVd3VP/PidPngQIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE
+EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC
+CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQggh
+hBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQ
+QgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghpCucoQcg5T3veY/31V/91U+naboYeixk
+P/A8L5zNZndu3boVDD0WQsjhMVoBfu65564BeC+A7wLwLQBuYsTjJZPmLoBPAviE53m/8rGPfewz
+Qw+IELL/jE7Qbt26tVytVh8F8F8CuDL0eMhB8jta658/Pj7+X2gdE0L6YlQC/OEPf/jf8Dzvfwfw
+dUOPhRDHcf6V1vq/Pjo6+sVbt26pocdDCNkvRiPAP/qjP/odWuu/C+CxocdCSIHf0Fr/Rz/5kz/5
+paEHQgjZH0YhwB/96EffrZT6fwEshx4LISW86rruX/rxH//xfzb0QAgh+8HgAvxjP/ZjT6Vp+jsA
+3jL0WAipIXAc57t+4id+4v8ZeiCEkOnjDj2ANE1/GhRfMiK01mWPpVLq4x/5yEe+ZegxEkKmz6AW
+8HPPPfdvAvhtjOCHANl/tNZddfWK7/t/+mMf+9irXXVICDk8hha+HxrBGMgeUGG1Xj465OkkSX6m
+yw4JIYfHYBbw+973vtmNGzdeBXBD2sbzPCwWC3ieB9ftTre11vA8r7P+AMBxnE771FrDdV04Trcf
+Wdfv23Xd1p9NXiz7+GwAQCmF09NT3L9/v5E463Wjb/+pn/opBmURQhrhD3Xi69evfzOE4nt8fIxv
+/uZvxtNPP435fG51w6yrazou6b9NHen421ptxfZdWYFt31fXtDlvFEV48cUX8YUvfAFnZ2c2/TkA
+fhrAuxqfnBBy0Azm/nVd92sl9Xzfx7ve9S48++yzVuJb53YsOy4R7KZ1pK7QNi7Tosu1KxesqZ8e
+XbyV5+/yvPP5HO94xzvw7ne/G1/xFV9ha21/23PPPfdnWw2AEHKwDDn/+oSk0pNPPoknn3wSQHeW
+YxNxnIrwdtFXsY8y0e2LnudvjRwdHeHrv/7r8TVf8zVYLOT7fSil/tMeh0UI2WMGc0FrrUV3uatX
+r8J13U7cwn25m+uEu23/0nZdCFXTa9Tl+fqm7Jy+7+PZZ59FkiR44YUXkKappLv/oNPBEUIOhsEs
+YMdxQmE9UX99iK/U6m3TtmEAUKfW7q4s3V1YtlWuask55/M5nnnmmUuvi+A8X/Hcc8892+V7IIQc
+BoMJsFJKJMBtLUjTTbeNsNb1sSvhbStiuxDdvsS2r/ngjJOTEzzzzDOXnpe68yRJ8q2dnJgQclAM
+GYQl2uYtSZLSY5J5W0mZbZ9jEN6m9Cm6XYthHyIrsZC11njyySdxcnIi6tNxHAowIcSaIeeARQJc
+Ng9nK7xN29TVaWuhS9u0Fd2u+qrre8h+unxfvu/jLW95C+7fvy+p/mc6OzEh5GAYTIAdxwklN0yT
+AEuEVGuN8/NzBEGAJElaWcq2gt5V2zps3lNXPxT6HneX7fP1XNeF53lYLpc4Pj7GfD6vbf/000/j
+85//vORU33Lr1i3/1q1b5e4aQggpMCkLWDpvG8cxXnvttQ3htbFe6wR0F8Lb1Fq3Fd4+Lf8mdW3b
+2fZ9enoKAFgul7h582alm/natWs4Pj7G+fl53XmPHz58+PUAfs9qMISQg2awOWBbAZbOy2qt8dpr
+ryGOY2vxrZtrlcz/2s4Rl83J1r3PNv3YHG8yX9xkzrZurrfr+eAgCPClL30Jr7/+emXfTzzxhOi8
+WmvOAxNCrBi9ACulrCy+8/NzxHF8ecxGfG3bSNtJLeaq9pJjdcLU5HgT0ZVQJmhdCm1ZX/nHnTt3
+8Prrr5f2cfPmTem5KMCEECsGnQOW1KuLgi4ShmHpsbqyJsIrrdNVW9Mxm7pt++u6Xhsrtk3bPHfv
+3sViscDVq1e3jt24cQOO49Sei5HQhBBbhlwHHAD1VkpmzeaRuChNbcrKbNs0tXrbtDUds6lbdlxq
+PRfrNLWIm1q2XVnFZX2+9tprxoC/xWKBo6MjSXf/+oc//GHZuiVCCMGAAjybzQLJTbR4U7SxUrNj
+ZSJQ1V9TwR6z8JrEy1aoJfVM/UtFs+yHmC11P+yKfSZJgnv37m21930fV65ckfTvpWn6b1kPlBBy
+sAwmwFEUiVzQ+TlgifjmU1fW1W9q9ZpoKrx1fUos1DqhqhLFqvpNrNy2gmuDVFzr6maPe/fuGdvf
+uHFDOk66oQkhYgabA14sFkHV/G5GWRR02U0wE+A6C7bsmE0baXkfbeuO2fTTto6NcDa1Zvvot0iS
+JDg/P8fx8fFG+fXr10XtHcd5Z+tBEEIOhsEEOE3TyzngmnpQSsF1HxnrNsJTfG1j9dq2kbRr29b2
+mO216qLPpnUl9bsQ2ipOT0+35nyzHbmUUnXNaQETQsQM5oI+OjoSZcIyuTir6hZ3T6pyAVe5KyXH
+y/qqc4M2aWt7rK2LuViniVu6rQta4lqWIHE/Z4+HDx9utZ/NZltWcQlf+UM/9ENPNRokIeTgGMwC
+vnXrVvgjP/IjGkDlfoNFESgeS9N0o04URQiCYKP+rqzeNhZvk/Z1x2zrNrV0uxhDW8u2K8s4DEM8
+fPhwI/DK8zxcvXr1UpyrzuV53jsB/N+dDIYQstcMJsAANIAQwLKyktZbyTiUUgjD8FJ88wRBgAcP
+HlSfuMbqtW1j266qXFpH6hWw6aersTWpJ6VPF3TW90svvYRnnnkG8/kcnucBWM8D3759W9IHBZgQ
+ImJIAQYEAgxgY+5NKYXValXqkiy6oPN0ZfVOQXj7sHZ3LbpD9XN6eoq3ve1tCIIA8/kcvu+LA7HA
+eWBCiJChBTjQWl+rq5QkCRaLBYC1i7BqLrVMgHdl9Y5ZePsS3S6Ecpcu6Lq6URTh7OwMx8fHiKII
+nufhypUrNoFYDtYeHkIIKWWwICwA0Bb5oC/qG93OeUE2CbCt+FYFBJUdt+nLpo7kvLbH6saUD0pq
+U6eKfHtb8TQ9JHVszpXtmpR952az2eXOSTX9P/6hD33oHeI3RAg5WAYVYKxd0LVkAmzamKH4upiI
+o05IizS1erueS+1aeOsEqG/RbSKCTYW2C4oC7DgOrl69Ku2fbmhCSC1DC7DVjkhlS4zyZOuF66ze
+KmE2lUnbVJXbtu1SeG3HUTzeVnRt6pZZ7F0IbZ11nD2CIMBqtQLw6DslnQfW60AsQgipZNA5YK11
+UBU0lZFlzHIc53JnmrIbcNnONX1YvZIyaZ2689m0b9qH9HgX7dqMr8txVHF6eorlcnkpwDdu3JA2
+/TOdDIAQstcMPQccSqyRfODLcrkUi1BW1lR8ba3eKvqyeMusRZs+pMer2kjfv8S6bXLutpaxiXv3
+7mE2m13+qDs5OblcllQzrm+6devWvLOBEEL2kkEtYMdxRC7ofD5o13WxXC4vo6EzsuemzRjGaPWO
+xeJt6lpuWq/P8/XRV/7HXxaIlc0PV7C8e/fuNwL4tPUACSEHw+DLkCSVijsieZ6Ho6OjyzzRxZtq
+3kpuYvWa6KpNsdy2bZNzSI535ZbuQnTbCG4X5/I8D77vw/M8PHjwAPP5I2P22rVrEgGG67rfCgow
+IaSCwS1gyQ3TtCOS4zjw/e3he553mbdXIgZtrV4bwWkjvFLBtBHSLkS3reA2Fdu2P1Ck3L9/H088
+8cTl6xs3buCLX/yi5LzvBPDXWp2cELLXDG0Bi5Yhmdb+mtBal25H2LXLuYmlbNvOVN5EeLu2dnct
+ul1Z500IggBxHGM2mwFY74wkhEuRCCGVDBqEpZQSBWFlFnAVVSJXJ6Sm+lVlZf01FXmpxdykvaSP
+/LE6ITf1JxFIad26+qbvR1Mk3z2tNU5PTy+fn5ycGD0vhr6/9oMf/OCV2oqEkINlEuuA6wQ4L4yS
+tcJ1QlpVZtOmSuTL2tm0tWkvFemy49L+ytpJ65YJfBOxrRNWKffv3798nk1xCPr2PM/7NqsBE0IO
+ikEFuEkUdNmNNH9DrXJDNxXfOqtY2kZa3pXwVtWTHpeIlo24SQVXSluBrSMIApyfn1/2KV0P7DjO
+d3U2CELI3jG4C1pYr/bmX1dmK6RNxbquzRiEt4wyQayrv0vB7VJo66zY/OPevXuX7SwScnzPD//w
+D39F4wESQvaa0VvAWlfPAZuEs8wNPbTVayobWnjbWLtVdCG4bcW2TlRt6r/55pt4+PAhtNY2gVhH
+aZr+3Hve85767B2EkINj6ExYgeQmmaWivGhTehPNXjdNxiGxYE1ldW3q+qoSx7LyroRXUjd/3LZO
+G8GVIhHYuu+Z5Hwvv/wylFI4OTnZWBtcNSYAf+Hpp5/+eWbGIoQUGVyAJfXy2xFW9HX5vJgvukpo
+Te1txLesv6o2knZN29ocqxOfvkW3ieA2FdouiOMYL774IgDgySeftBHz775z586vf//3f/9XdjIQ
+QsheMHQijlbrgLVe54kuzhEHQYAoihoJqU39Muos2iYWr+Tc0mNNx191XGrh2tD0vTahrj/P8+B5
+Hs7OzvDyyy/jy77sy3D79m2bcbzbcZw/+MEf/MFf1Fr/jTAMf+P555+PWw+cEDJZhhbg2kxYWusN
+F3RGFEWlIrtarS63kiv2JSmT1LcR0SbWdd3YmrRvI2i7Et0x9wEA8/kcWq/XA1+9elWUljLHQmv9
+PQC+Z7FYrH7gB37gMwBqO+j6x8auyefTJiJCAP/KcZzPaK3/4c/+7M++MPSASD8Mvh2h5OZStIAz
+8TX0V3Uucd26+k0s5TZWr3SMZeVdCu8uRLdN+77FKoqiy6DA69ev4+HDh6JEMQaO9B7sGyy53pIt
+R7tm6j9a8lMZH/jABz4L4Geeeuqp//XWrVvb1giZLEMn4hC5oPMWcJqmteKb7d+aldsK0i7Et2xu
+0mbutK68qi/Jsby1XzeuNvOtTeZrhXOvjcdQ9UiSBEEQwHVd3Lx5s3F/Y0Z6LTguexqM/xsA/M+v
+vvrq733f933fvz3AkElPDL0O2CoIC9jOimX6wpYl4igrqzpWFKG6c5uES3qesQivdExNb3i2N8su
+brBNbthV9bPpj6OjI9y4cWMyAjBmARvruKTs4Np+nVLq19///vf/V12NmQzLoALsuq51JqzMHV0m
+FlrryyjoIjbiWxRSSV/F8ZiO246rqp3pnFXnlQivjSjZ0EZwm5yjqo8ubpT5H4XXrl3DtWvXxOPs
+g6kL65jFdWTj9xzH+ekPfOADH9vVCUl/DJ2Io9YFrfXa5Zd9wU1JNtoKmOkGbXouKau64duMq+pc
+xbZN+i/eOOrG0qfoNrmRtRHZLih+Dx9//PGNbQu7ZEQ3f+txjVlYgfFeWwEf/eAHP/iRoQdB2jG4
+C1ry5c/PAXveo6RCZf85inPAZXQhpEURk56j6Y+GroTXpq7NTaiJ6Nr0KRXbttR9Lz3P2yp77LHH
+8PTTT298R9ucY+ziOmbGfG0lSMaulPrx97///X9+6LGS5kwiCCvv7vN9//LmV0bVHHBGnfja1peI
+dd2Y6tp1Jbx1N6A+RNfmxte32La9Mbuui6OjI+Ox5XKJt73tbZfpKsd28z8EYTqg8bsAnv/Qhz5k
+/jKS0TPoMqQkSQKJtZCfAwaAxWKRtd8ozyibA84w3dQlxyT1bcps21UJpqRP2x8kVTR9PzZ129xI
++7oJZ9sRVi2tcV0Xjz/+OB577DGcnp7i7Oys97WwYxYdCVMfPzDYe3jH+fn5+wD8D0OcnLRjUAH2
+fV+0Djg/BwysBXa5XCJN061jwFqgl8ul6KZua/XWHbe1rKXlfbStO2Y63uSaSGnatusbn6k/x3Hg
+eR5836/9gZfh+z6eeOIJPP744zg/P8dqtUIQBMbEMrbjmRIcf784jvP9AP4KgHEPlGwxqACHYRhI
+ktpnqSaLVofrusak+MfHxwiCzQBrW2Hssr5NG0m7tm1tj3Ulul1YuW1vhl2IfJM+jo+PcXx8DACX
+6VPTNC21jJsmr9h10ouy80nGYTvWqvpNj9XVado2XyZ9n1m9MAzx6quvGrP55cl9D7/q/e9//5/9
+uZ/7uX8mOhEZDYMKcJqmodSVqZTaCK4qqycttxHTti7nrtpIy8ckvLZ9NjlHm3ZDWDdXrlyp3U3J
+BtsbfF/993kOG0GTiGkb8bcR67p2plUdq9UKN2/exBe+8AW88cYbovEppf4dABTgiTGoAL/1rW8N
+7t69W1uvbDOGDNMccNmxfFnb+d5dWr27Et6hRbeJIPbpFu+DIAjw+OOP421vextms9mgY+nTYh6r
+4Hdlnfd1bqUUvvjFL8JxHARBgIcPH0q6/kbrwZDBGTQK+tatWwrAdl5JAzYWYVkUtNa6kfjm20nq
+S46XjakPV7XkWFU9m+PF/iTiKK1rql+8BlXHm1LWb9NHmqZ48cUX8alPfQp/8id/gjAMext7k/fW
+V9+27bqua9OmqzpN2riui7e//e2Yz+d4+umnRf26rvus1UDIKBjUAr4gBFDrjzPNlZV9kU1BMmXC
+W1fWV/26NtJy27bS9nXHTMdtbppSmry/tn3vijRNcfv2bbz00kt4/PHH8fTTT+P69eu1HhwTXVqy
+VT9qu+pX0p9Nfdu+pW2yOlV9SurYtnEcB1evXsW9e/ek/R2LT05GwxgEOADwmOlA/j9IkiQb82ZN
+buIScawSp7r2tmJt00bSrqpc2r7umOm41HKV0IfgDi20dWitcefOHdy5cwez2Qw3b97EE088gWvX
+rjUSkyJdi2cXfe5CjLsURKkQ216Xqjau61bGvRQ+E64FniCDC7DWWpwPWnrjN1kQfYqvjWi0aWPb
+zqa97bGuRLdLwe1DaHct3lEU4aWXXsJLL70E3/dx9epVXL9+HdevX8fJyUmjPiX/T9r0OZQYj1GI
+uz6ndMkbKMCTZHABxtoCrsVGAIpzwG3FtMv6Nm3atJO0bXLM1jqW1rEVujG4oLsW5+INOEkS3L17
+F1mgouu6WC6XOD4+vvzr+z5838dsNrt8XrdaoGr8TcS0S+vYRsD6FuI2bucuzuk4jvizBAV4koxB
+gK3TUdbd+PK/GrsW3zYu511ZvV0L7xhEt6nY7eIcXVF3/jRNcXZ2hrOzs8uyNkuQfL/+v38mAhIh
+cF13Kw+2xL1at4ZX2qfneY3HWda353miJUima1TWLjt33fsOw9BGwCnAE2QMAiyygMvSTmZJDfIC
+HUXRViIOU9t8mY1Qd1G/rKxqTNJ2VXVs+m0rvG0ErQ8X9NACu2vqbt6WN3hxv23adrUeWLpWt881
+vcXyujae5238iDD9+KiAAjxBRi3A+Rtmlg0rfywIgss80fnyKIo2rIRiX8UyWzGVHGtjKTcZQ9Nx
+msqbWs1lx7uaL7ahT7HdhZCPcX1um75sBEtyvI2Qtj1/EyG2abNcLrFcLm0TD3nve9/7Zs8//3xc
+2YiMijEIsCgbVj5/rtYa5+fnpcJhyi5T9rpP8e1KeOvG0GSMpvIuhXeXojuG+eCusRlPk8jbLvox
+9VU3J5qv02Yetdhf3f/9qj5sz58vN9WpKzPNL+fLMsPiypUrcBwHjuOINvNIkmQJgAI8IQYXYC2M
+gs7/hwuCoPSGXycETed768ZUfD0Gq7etq7ru+BCiu2u39hSoel9NonGbtDX1IRXjujbS4xIhrjrW
+Vogl/VZd43z9OI4RRRHm8zk8zxMJ8Hw+PwLwoLYiGQ2DCzAs5oCzL2hxN5myL3Vf4tuF1VvXpm4M
+TdpJhbdra3fXojl193OeLpf42PbbVpAl7ZuKcVMhruqjjRDXib9Nm+xYHMeYz+fi6x7HMeeBJ8YY
+BFgUBZ19UYu/BE03GNd1Ry2+ba3eIYR3zKK7jy7oDOm4unBDS5fzdHHOtmIstWibWL5NhLhrt7TW
++tLQKJsLLn4enudRgCfG4AKstQ4krqrsy1jlzqk4R2n9NuLbxuXcpbtZKshNLO1dCK9NG7qgzXTh
+hrYV5TaCbGvptrFos2O2gmuyXOvaSdzSUms4MySKPyTKSNOUAjwxBhdgx3EC4Zcrqw/XdbeinzNM
+vyTzf4vldWVlx6rEdypWr/T9Dim6fQv6GPqV0MYVXTburt3QdaJa165NsJW0H1shrrOGszp1gZ9N
+rOFsnbbFD6ilqCIZDYMLsBYGYeVdz4vFAufn51n7rbrFX81txLcLK7aqflW5pM+q8rEJb1+i21YY
+uxDWLvqwsTZt20v77MoN3cQ6trGKq+oOIcR1VrS0z6y+67qXue+la4Fd16UFPDEGF2BYZMLKvrCu
+62KxWCCKImuRGJP4TlF4hxbdXbigh7J0m5xXOiXT1A1tI8hdWscSgc2O1Qlp1bEmwVNV70Fq3Vad
+x/M8nJyclNYvQ2tNAZ4Ygwuw1jq0mQPOyn3fh+d5SNPUuFHD8fFxbbR0UzeyRBjrBK2urCvBrmvX
+lfBKrp/N8aZ1pfWHEtldIrlxN3FtS9s0OX9VG+mxJn3UjbWunel4XZnpvc9mM8xmMwCPhLnONZ9r
+TwGeGGMQYCsXdPFXbZa+LdcfAODo6GhjnriN+PYp1HX9l5VJ2rVta3usK9HtUnD7Ftq++m8z59u2
+f5tzdyXGNkJcdVzaj6S8C1GtK5N4GKRBWLSAp8fgAuw4TmfbEZa5nMrqtbVkhxLffRTeLizirsRw
+DNaxzRiaiHWJBWU81kUkdJ0LusytXFe/j8jnfFvb+eG646Zx1tW32N2KQVgTY3ABrnJB57FxJwPl
+N5Mm4msrjH3Vr2sjLe9CeHcpul0L7hgEtkuq3k+TaORi26aC3LUY7yryOStvE6hVJt5V5yk7JhVg
+BmFNj8EFWGoBV21HWPZrflfi29RKlvYvadOmnU2fbYW3jTA3Ec6+xHZXIt7WFV02TttAqHybrqxe
+SR2TiJrq9iHE0nZdRD7nMUVFS9B0QU+OUQiwRITKtiO0taL6Et+pWr1dCG9fomsrcl2I4i4Eu41V
+WoatUJf9aLVpYxLkLsS4jVUsFekuhbhva9hkAZd8LyjAE2NwAU7TVOSCrpoDrruZlNWzEcguxLRp
+fZs2knY25X0Kb1vRbSqWuzjHLvqssqLK6lVhK8omQZZYx1Krt+54U/d0G8s2385GvE3vR1pfGoQF
+gHPAE2NwAbYJwspTZxUWf50PKb5NhLSJ1TsG4e1TdJsIVxfW+VipG3uZy7h43OYctvO/XYpt1TGp
+5VvXvk4gi2MuO27rlq6qL50DBi3gyTEKAZbcBE1Liup+9e9afMdg9fYlvF1bu5LP3FYc2/ww6JKm
+52o73ysdR5UwN3VDV9XrQoxtjkkEt6zcVoi7cktXCbPF94ICPDEGF+A0TQPJL7yiC7qpcLQRVNvz
+NxXfIazeJsI7tOg2/bHQtv++aHK+JqJdJby2olwnqmV1qizbuuO2VnFTIe5qfriNNWxqX4bDRByT
+Y3AB9n0/NG02XbwRlCXV0FpDKbUVJR3HMYJg07vdhfh2IaZd1K8qt2nXt/B2Kbp9CO6uRXbXtLGq
+y9paCILVcdv6knb5Y03fj+l4XV/SNlmZ67pwXXcjqVD+WBHT91YpRQGeGIMLcJIkpdsRFuoB2BSq
+OI5L80EHQXC5YUO+XZ6+xLeun7IyW7FuMqa6Ok2F19babSO6YxTNrsfUtTu6C2yEyLaejRg3OSYZ
+Z937sxVVaZti/cVigeVyefmaQVj7y+AC7LqueA44Xy8MQ8RxXFq/LkK0T/HdhdXbp1Xc5lgXwjsW
+0R1S6G3OvSuxLo5JGvVcbCuZ/+3CBS1tU1VH4mKua1MXpFWsHwQBlFI4OTmhC3rPGVyAtdai3ZDy
+LugkSbbEt+xGsC/iO4TVKxXePkR3V+Jnc56xWN51Ubl19bvCJKp1QiupYxI9U13JsaZC3NX8sK1w
+Z6+jKMJsNsN8PmcU9B4zuAB7nhcUlxiZyLYjdBxnKy2liTK3TVvxtbUUq9p3IdZ1Y2rSrgvhHZvo
+tvmBMjYk46zzABXrdD2mOvEstuvLKm4qxHXt8uMqHu/KGo6iiAK85wwuwPfv3w9OTk62yotf9LwA
+l60JzlP1n3QX4jsGq3eMwrsL0e3CIp86EtGV/r9pe36JGDexiocW4iZu6QxJ/Wzare565aAAT4zB
+Bfgd73hH+PLLL9fWywS4+MWXMlXx7dLqHUp4m/x4sKGtJd72HEPRRCzrRLfOwmtCUzHuwj3dpxDb
+Wrf5Mkn9fES18PvHIKyJIfZt9MWtW7cSALU+Za315VKjOpeM1pvZY/ZJfLMfIVXjsTlPlSjnxyGp
+V3cse92FmJn6ypc1OY+pfVfj7YOq8dqMuapt19eh2FdV3zbH6urZlNeNv6zM1GdVWdmxDN/3jUJd
+AS3giTG4BXxBAOBKXaVMgOfzOZIkQdX64WJQyBDiW7yR1fVbVb9uLLZtJOU2771JHzY0uR42ffWF
+9Fx9BEqVnVtyrmLbsqDGNuMu+7/ahVXcxvKtOpekTdlxqTWstYbneVgsFpXjMEABnhhjEeAQFgIM
+AEdHR1itVqJtCrsW38pfwXDwIJ7jfjyH0q5Vv3XHbNo0sf7a1OlD1LqyuLpgrFZwV8LdRT99/Iio
+6lNyPtsxmeo3eV824y6+9jwP8/kcr957VH7P/fLac2qtT975n/+t91kOdUC5EYcAACAASURBVJIo
+V4eA88V5OvsXv/nX33t36PE0ZSwCvLUhg+mGl80DZxwdHSFNU2Oayvl8jsVi0Zn4ltXLl710fozf
+vfM4wtTbqkMIIY3xvlZSywX0/9T3UMaAowFAI3Yj9S3/xf/2m452fuHe0Y2/8cJf/YuiZa1jYRQC
+rLUW7YiUJMmWAHqetzUnrLXG0dERjo6OtspNr7sQ33957wi/9VqtEU8IIaQ7XADv1o5+9/Xgzke+
++X2/8B9/+vm//MmhByVl8CCsC6yTcWQ0dRt3Kb5nsYvffnV7KRUhhJBd4bzD0e4n3vmf/eJ/OPRI
+pIxFgEUWsE3QkykKuvi6jXs6X3b7wQKJGl/uXkIIOTCW2lX/x7d+7y++a+iBSJiUAFdlwNqV5Ws6
+z92Ac76EEDIS5qlSP//v3vpHo5hirWIsArzhgi6uk8se+WQcpqUu+WOmxet9iK/WGkE6lstICCHE
+Ab7+wUu33zv0OOoYhXJorQOTsBaR5IyuOMfG32K5bb18WRCP4jISQgi5wAH+0tBjqGMsyiFyQVcl
+3igKZN+ZsPJ1QzWWy0gIIQQAoPFtQw+hjrH4yEUCXFzvm1ElmH2LLwCECQWYEEJGxuNDD6COsQjw
+1hywiSoLuPhasvF28bVNlHX2PFFALIiAdgB89Z96CjevbuZLj1OFoZMsRUlz134XaA0k6fZnu0uU
+1kjSYT+IVCkoNewYxvB9JKSKJE7x+p37kqpR32NpyygEWJqIo2wbQqXU5SN/LAiCjXrFdvnnTSxf
+ADhPZJdwNvPxrd/4drzjaa4XJqSKeOAfQlqvfwwNiVLrH4VDkio9+BhM/PHtU/zyJz5TW08DX9rB
+cFoxCgGGhQs6Q+v1fplhGBotY6UUzs/PrYRVUqd47DSS7QA2n3uY+VwrTEgdM28M/0+4tHCs/LHw
+R4EDvNrzUFozCgHWWoeShOd5AU6SRGThSsoF4ys9T6Rl/1F938fc354r9j0XPeSwJ4SQvUEpjfRi
+euY8EKZ7dvBaj0PqhFEIsOM44ijobD2wRHzza4FtE3UUX5eVR8KNF+YzH3NvW4BdBxRgQggpQetN
+d/zZqnb7eACAo2gBiyhawGVimVnAZeuBi+3K9jAtq98kOCtU8jngmcECJoQQUo7SeiMw8Gwli63S
+Li1gKYHEPZyloixuS5inzAKuqlf1uq5eJMyCNZt5Rhc0rV9CCCknLawMeLiSuaAdPX4BHotJJrqi
+mfCa5ott5ne7Et/1HLDsN8zcYAFTfAkhpJxUbVq/AHB2LrSAoUfvgh6LAFtlwjLt/5v/myER6rZr
+gkPhHPDRcg6XgksIIWKK1m+iNFZBLGqrtEMLWIjVMiTXdTGbzQCUC2ZWL0/X4qu1Riy0gE+OZltl
+DqjIhBBiwmT9JolGHMuCsDw1fgEexRyw4zhBlZBmJElyeXw+n68FMI5L2/SZDesyClq4DOnkaG4Y
+4HZRnGqcnsl+4XWFN/C6S8dx4A/sj3ccwBvYReG6zuDTEv4IxkCI1kBqSMgSpSmiim1p84RxOnoX
+9CgEWGsdSuZwi9HP8/kcvu8jTdNL93S+n8VicdnGlP3KMA7R63x5LIyCPlmaLOBtHq4S/OFtUZo1
+QvYSzx3WMeeO5MeYO/AvIdfFzsZw9djHszePL18rpWG6SwdhCuOBbc5+72/+5bOOhtcboxBgNMyE
+BazdzJmruSiYR0dHxvKuNmuIlYNU139BXdfBciG71PHAOZEJGZqh00CmAOJh06MfHIvZI0+i1uu5
+XhOrUOwdHL37GZjoHLDEWs6ipbtIRVkm0oFwF6RZSRIO04/LKKEAE0IOi3z60WLgVZ5zYQDWFNJQ
+AiOxgLXWVsuQLtoU+9iqX5wDbiPGpvJQugbYL0vCsa3AQ+/IQwghu8b3sqRJdQIsm//VE7GARyHA
+NkFYpjplQlqVXUtyvjqxly5BWifh2BZbk/M6SijAhJDDYnbhIawzQM4D6RpgWsA2iDJhmVJQSt3R
+ptdt3dNSC3g+L7GADQo89L64hBCya2a+K9qCUZqGcgpZsICRzAErpUQu6DRNrQS3KhGHdN63qixI
+ZBGCs5KdkIwWMAWYEHJgzFwXiSD47qE4D/T41wADI7KAJZWK+/7WLS0qBmG1iXguorVGYOGCnhmC
+sEwkDMIihBwYjquhBStKaAH3gHQ7wrwFbJP7uYo27mnpRgxzi40YuAyJEHJIaC3PCvjgXLYRg9Lp
+JAR4FBaw7/tBHK/Dy6uEtWwXJJsgrCqr2dZCDoQCfHQ03xJb09dNqeoIQEII2TfiRF9GQVehNbA6
+Fy5Dct3X245rF4xCgJ9//vn4u7/7uxVqLHKtNZRS8Dxvq6wYoJWlqQzDUCSsttmxtNbiOeArS1ka
+yohLkAghB0SqNODI7nuJ0ggimQD7yqUFbEkA4LiuUl6AkyRBGIalc8NRFOH8/HyjrFgn/1zids6X
+S5chmfJAm1wunP8lhBwSQaxwPJfdR6M4RSqbolPPnvpvfLLVyHbDKOaALxAn4wCAOI4RBEGlaGYu
+aKmwSupcijWASMm+OMdHst85jIAmhBwKUaKglC5JUrTNKpQl4YDGvY9//L2TSCY6JgEWR0IrpRCG
+a72WuJHzSOZ9Je7oVPvQgsABz3OxnG0LsCkAi2uACSGHgNJAFK/vd6Y0vSbEeaAdTGL+FxifC7pW
+RNM0FeWELssFXawjOaepjjQAazYrS0O5TfaFJISQfSaI0stNjWaGLIEmLCzgScz/AiMSYK212ALO
+bz9Y0ldtedtsWLGWXbpZ2RIkQ92yHUAIIWRfiFO9sdpDmiNBmgcajjMZC3hMLmhxNixThqsiWuvL
+bQqz16Y6Va/L+gXk879z39/Y6eMS405IFGBCyP6iNRAW9nqUegileaAdrSZjAY9JgMUWcH4ZUpGm
+QVjSZUgZkZ7VjhW42IrQ3x6vKQo6ZhQ0IWSPCWOF4i1VagGvVrI5YDUhC3g0LmhYbMjgui5msxmy
+5B0ZRbeyTS5oE1XCHdmkoRTOccQDb0ROCCF9kSptzPQnvT8+DGRZsBxHU4Btke4JnKWjnM/nUEpd
+blF40Yep363nbXdBAoBYeOnWFrAwDSUtYELIHqI1EETm+5vp/mjiodACnkoeaGBEAgyhCzqf8Wq5
+XF4GZJVtVbhYLDZeS9JMSuokMGS3MjCf+WIXCwWYELKPRIkq3WpQHIQlzQPtTCMNJTBBAS6Koed5
+cF0Xvu9vWbuu6+Lo6GijnW0u6LI6yalsDvjoaGa0dotlkr0wCSFkaiSpRlRhXEgF+P65LAjL1dPY
+ihAYkQBrrUNJdHOSJNbRy9LtBaV1AHkayitHMqFmHmhCyL6hNRDE5UmpPNeBK9BfrYFzoQs69JLJ
+WMCjioLO3L9Vj6o9gYtlpt2QTK+bbNYQKdmlOzZsxGDMgkX3MyFkzwjidCvqOY84SVFinmY0oL7m
+zvKOqNMRMCoBllTKfwh1wVNlmbDarglWWh4FfWK0gLcVmHmgCSH7RJxqJDWevc7TUAJ3p5IHGpig
+AFdlwCpDMqdbVVZsHykXEoex77lYGNcAb8MALELIvqA0EEb1OihPQynTVI3pREADE5wDzgTYZj1v
+xTm3+pAIdJi0zAPNJUiEkD0mn+u5CnESDqEF7GA6a4CBEVnAjuNYrQMGZAFTZfPAtkFX+dc2GzFI
+80AzCQchZB+IErWR67kKcRpK6RpgTCcCGhiRBQyLTFiAPKK5LB1lVbu6PqXzv2VrgM0uaEZBE0Km
+TX6bQQniNcBCC1hhOlsRAiMTYEmlsoQbZa+l6ShtoqRD4UYMs7l5JySzC5oCTAiZLloDK6HrOUM6
+ByzeiGFCaSiBEQmwbSpKQ3tjRqw4jhFF0Ua9/N9iedmxfNlK9l3AzPdLvmCGjRgYBU0ImTBhrKAs
+t1SVRkGfidNQ0gXdCK11YBOElX8dRdHGxgx5sTw/P98Q4OLx4mtJdqyzSDi/YbEXMAWYEDJV4tS8
+0UId8jlgmdUzpZ2QgBEJMIQu6PzmC0opBEFQuTbYrUmz0iQ7lnQjhtI5YEZBE0L2BOmSIxPSOeAH
+K+FOSEpRgJvgum4oWeObrxPHcWV2lHwQVr6sqn5dmdYasZJdtpOjuVFsi6RKMw80IWSSSJccmZBa
+wGfnMhe059EF3QittdgCvtwQ4cIabromuGmCjkgLN2IwpKE0ESf1dQghZGyEsXzJUREHwMwT7gUs
+3AkpiuaTsoBHsw4YDXZDkghu3gUtbVsXJZ1o2e+Wso0YilYx538JIVMjTqp3OarD81yRhzBJFcJI
+ZKWkv/P2z00mDzQwIgG2sYAL7Tb+FpGsA7ZJ0KG0g1jXXzYHDo4NAswALELI1EmVRlixy5GEecdp
+KB3gDm7dmtTNdDQCrJSyWoaktYbnrdfjli0bMs0BF+vb5oKOlAezjG7i+a45xJ4BWISQCaM1EESq
+8bxvhjQAK4xk8796Ykk4gBHNASulrJchzefzrf2Bi+JZ3BGpbRBWAtn873xelobSsAaYAkwImQhB
+rDoJGhUvQQrEQTKTCsACRmQBLxaLRi7o5XJ5Oc/bRRBWnUBHwvnfme+Jv2B0QRNCpkAYKyQd3a+6
+3oiBFnAL4jgW7YZUzAXtui6Ojo6QpumWOANrK3k+n2+0KT7PXovSUYZLydvBvGwjBpMLumbPTEII
+GZo4bRd0VcSYpteAOAuWQwFuTJIkwWxW797NC2VeID3Pu5wTztc7OjpCFEVWuZ6Lz/P9qUQmwH7Z
+VoQG6IImhIyZVOnGyTbK8IVLkKQbMUBNKwsWMCIX9MOHD8WbMZis1TzSzRjqykzHIuFGDPOZZwzC
+ogVMCJkSTTZZkCC1gKVpKLXLOeDG/Oqv/mqk10DyKENizZrql5UV+5MK8HojBlrAhJDpcim+PdgI
+4ixYQgF21LR2QgJGJMAANIDapUjZrkd1dTKqUlFKxTpfFkr3Ap77xiwvxihoBmERQkZIm0xXdUiD
+sB5K54AnloYSGJcAA8JsWGUCbLKO8wLcxvWcIbWAS/NAF8oS5oEmhIyQMFa9Ggddp6FM05QWcEtE
+FnA+Elrqmjb1U1VWZh2HYgEuSUNZeG0I3CaEkEFpm2ZSgnQO+OG5zAXtL/TkLODRREED8nSUJgu4
+TFBNqSibuJ4zpC7o4yPZRgwR3c+EkBGRpBpByzSTdTiOLApaKY1VIHJBJ7/15BfutR7YjhmVADuO
+E0is2CwSuohJUCVri6v6yZcpuEhFeaCBo4X50haH09WidkIIaYtS6+0F+0Y6/xvFqXSKbnJ5oIGR
+uaC11iJnf9ECrhLtslSUzaxf2eXyZz4WxjSU20Tx5L4zhJA9pK/lRiak7udVKJyjm2ASDmBkFjBa
+BGEppS4jpDe2DkwSRNGjOQRxxitD2Vki+9LMy5JwGBSYFjAhZGi0Bs5DsbXZGqkFLE3C4ejpRUAD
+IxPgOhd0diwLwsrK4jjeyHa1sWwoDLFarTbKy6zfunzQD5OF6H3MZ755JyQuQSKEjAytgfNod+IL
+yNcAB0ILWDvTWwMMjEyA0zQV5YPOW8BhGCKOH/1KauJalh6PtSwAy5+ZN2Iw7gWccAkSIWQYMrez
+6mmtbxnSJUjnsgAsQE8vDSUwMgF2HMcqHWWapojjuHYOGJAvOypDa41YC7cinHkWGzHQAiaEDEMQ
+p70l2qhCvhWh0AWNaVrAowrCguUccHEv4Dz53ZKKZcXnVWV5xFsR2mzEQAEmhAzAKlJIBspDL54D
+llrAwBuNBzMgkxVgSUrKjDZu5/zxWEkFuGQjBkNduqAJIbumy319mzD3ZS7os0CWhAPQkxTgUbmg
+UZMJqxiEVZZm0pQLuou54Vh4uRYz37zInC5oQsjABFG/KSYlSC3gs3OZBZxqCnAXiBJxJBf5G/Pu
+5abYWMexlmW3OjlamPNAFxQ4SZkHmhCyO8YgvoDFTkjCPNCO63IOuC02qSi11vB9H57nVVq3ZYk4
+8s/r5pEvXdDCOeBjaR5o7gNMCNkRYxFfwEKA99wFPSoBdhzHOhPWYrGA55UvD3IcpzIdpc0GDlIB
+PlmWCHBhGBEFmBCyA8YkvoBsGZIG8EC2F7AGzicpwKNyQUtTUeYTcQDAcrlEmqZI09SYpnKxWGxl
+yLK1flPtQgl+r7iug6OFbLlS0vNuI4QQ0ve2grZ4rgPPrRfgNFGIZZtC3P/0898rDpceE2MT4EBi
+rRYFGAA8zytdcnR0dGQU4LqUlPnX54lMVH1fvgSp7+2+CCGHzXqp0bjuM9IArDBKIXNQTtP9DIxQ
+gIX1Nv4Wnxepmgc2lRk3YhDuAzyfe6Uh9twJiRCyC7ReJ9kYY5zJTLgEaRVJN0t3KMBdIJ0DzrJf
+Sd3HJqvadm1wJBTgme8bf+GZDHvuBUwI6ZosveQQGa4kdL4RA6a5ExIwsiAsGwu4aXKNJtav1hqR
+OAmHL95qK2ESDkJIh4xdfAGLjRgCmQWsJpoFCxiZALuu23g7wgyTgBYtYBvxzp5LLeCyrQgdQxYO
+WsCEkK7IdjUas/gC8r2Az8P9XoIEjMwFXWUBF/f4remn9rn0eIZUgMt2QuJewISQvlAKOI8SYdDS
+sMh3QpLOAbsU4C5QSllvR5inTEDLUlbW9bGxr7DUAvbNLmjTu2IUNCGkLUmqEUQpJqC9AGw2YpBZ
+wI6ergt6VALsuq5VKkqg2oLNB2G13Y5QuhHDYu6Jf+GNMUKREDId4kQjkK2VHQ3yNJRSFzQFuBOU
+UpXrgDOaLEOqq1PVn9ZabAEfH5vzRW8vQWIeaEJIc8JYTdKL1nkayoluxACMTIBd1w0lFnCZCzqf
+CSvfTxRFiKLIKid08bl0DrgsDWXRCc1tCAkhTdB6fNmtbJB6CMUWMAW4G6os4GIQlo3AhmGI1WpV
+uTSpWpwd8TKkk6MSC7jwOhbuZUwIIRnqYpmRGnmkcxXSKOiHsjzQmDmLya4DHpUAp2kaVG2skKt3
++TyKIoRhdf6OsjlgQLYZQwIP2hhGtYnruljOSy5poXk8QdcRIWQ4knQ93zv1mStJEJbWGueBKBFH
+8pvPfO7N1oMaiFEJsOu6VpsxpGmKKNr+lVS0YOvmletc07F4DbBX+uXasoDpgiaECIkShTCe/o92
+33NK9krfJI6VyEhxgLu4dWuyF2ZUiTiWy6UoEUdegG22EywibRvD7FYuss6CJZvfmOr8DSFkd6wz
+W+2H+ALyJUiBMA/0lLNgASOzgOM4FiXiyITXFHAlyYRV1m/ZUiXpEqRZWRIObEdBU4AJIVUoDQQT
+yGxlgzQCWroRw5TXAAMjs4C/4Ru+IcwCooqPPJJc0Pm6bTdjiIW/U2YzH3PTRgyGunRBE0LKiBON
+8yDZK/EF5BbwSrgRA1wKcGfcWvvya0PfMgH2PM8qmYapH8nxGLK9gMvyQJsUmBYwIaRI5nIO4ulk
+trJBOkUnTkOpp7sTEjAyAQbkOyIppeB5Hny/3jq1Se5RfA4AiZYJcPlOSNvnZxQ0ISRPqjTOw3Sv
+c8SLXdCyCGhg4i7oUc0BA/I9gdM0hed5WCwWALY3aMi7qW13QyrWkVrAZXPARhf0Hv8nI4TYsS9R
+znWI80AL1wDDde60GM7gjE6AARgt4KJo5iOgF4sFPM+DUmojS1Z2fD6fYz6fb5TbZMVKE1kU9Hzm
+G7O8mAxwCjAhRGsgiNODyQsv34iBFvAg2Lig83ieh3wSj2LU9HK53CivEuDi62QlE+CTo4WoXpzq
+yS+mJ4S0I040wj2d6y1jJpwDPhMKsOOqSc8Bj06AUWIBF6nbkrDKzWyzXzAARKksEceVo9mWsDrO
+tgs6YQQ0IQfLPi4vkiK1gKVpKB1MNw80MEIB1lqL9gTOp6Osm9OV7oZUrKO1hgYQaeFOSIaNGLQG
+9MXfjJDuZ0IOkihRiGJ1UFZvHmkeaOlGDK4zowB3ieM4rSxgYFtoJYJexjoJR317z/OwmHkl/7Gc
+jfKEEdCEHBSHbPXmEUVBa+DhuSgWFw9cjwLcJVrrrR2RTJZrZgFLXMj5/mzdz7HQ+p3P3PWXq+z/
+V658int4EkKacehWb4bjAL7r1Ma/KKWlmbBWn/3Z9z7sYmxDMUYBFv30qZsDzlO1G1JZ2+y5dBtC
+/yICWhv/m22W7vM6P0LImjjViGIFxYhLAPL53zAWbrfoTDsCGhihAEtd0NkyJGmwVfG1NINWJN4J
+aZ0FS2AAI2IQFiF7i1LrpUWH7m4uMvPK7495VqEwC9bEA7CAEQqwyQVtQiklTj3ZJhOW1AKe+xdr
+gAUKTAuYkP1D6wt3M6eYjPh+xf0xhzgPtHYpwF0jzYRlckG3yQtdhjQCejb3S3/hFcsiCjAhe0Wc
+aEQJ3c1VrO+P9ddHnIaSFnAvbLmgTWJaFODMHW1yTadpijAMN+oWn5eJdxDLIqhnvgff2w7Cchxs
+lR1K1htC9h0Kr5yZVxKAVbjFirNgTXwvYGCkAiyxXvO5n5VSCMNwKx808EiYgyDYKCseLzsWCJNw
+zGY+Zr6z9fvOwXYZN2IgZNrE6YXwcp5XTGkQVuESngtd0A4FuHukqSjzlutqtapcFyxZhlRGrGWX
+aDH34bkGc3c9gtw5gaRirISQ8ULhbY4vDMKiBTws4t2QACCKokrxBdZCK1mKZBJnqQCfHG+noQQA
+OJtZsFLmgSZkciQXwsvI5uZUBqnmEO+ExDngXhALsNYacbz+tWQS17yVXCbAdcuYpFsRHi1kGzZE
+tH4JmQRar3ctixLFH80dILWAz1YyCzjVFODOkWbCyqzeurXAZf2IEnPAQSq5RM46D7Sxx8KPPqah
+JGTcKLVeTpSkzF7VJb4nS4gk3wnJnfROSMAIBdhxHFEQVuaCrnMtZ8dMa4HrEnMkmEGSB3rmeesk
+42VrkHLlDMAiZJykSiNKNFIKby/4hr3STUjzQNMF3QNKKfFuSNK5XcB+QwatNWItcz/7M//CvVIW
+gPWonAFYhIwHpdc/ipNUcylRz0hc0BrAucwFrYFzCnDX2O6GtFgsLsVYirRuIpz/nWd5oEu6zZfH
+XANMyKBovQ6qilMGVe0K13EuVolUkyYpIsNyUgP3P/3894rDpcfK6ATYJhUlsLZsl8slwjA0JufI
+qJpXLnsuDcCazS6ScAhImAeakEFIlb4UXhq7u6Vs/tcpTPGFUSr8bKbvfgZGKMAwREGbPrgs+hlY
+78V7fHyMJEmM2xQCa0s531+VAF++TpZAWj/gtQAXLGDn0d98ccw0lITshPWa+7XopoqiOyQzzzVe
+/+K0nXQjBgfO5AOwgBEKsDQIy1TH9334vn95LG8RL5fLjfliiQCr86VozLMLF/TmALO/m+UxXV6E
+9Ea2dChJNZSSZB4mu0AagCXeiGEPknAAIxRgpVSj3ZCq0ksCsmxYxTaRMAnH/MIFLdoLmFHQhHSG
+1mvXstKaojtipGuApQKsKMD94Lqu1TKkrtmYAxYK8Mz3jb/wTD8juBEDIc1RF4Kbphqp1kwJORF8
+aRasA9oJCRihADuOE0oSZpQJcFl96XKlPNK9gBfzGVzHEAVdSEMJACmXIREiQmtAaQ2lLkSXgjtZ
+fNeRbUUonAMGpr8XMDBCAU6SROSCzgtw03XAdUIvzgN9ZM4DXdwJKVFc4E9IEa0vXMkXAqsuhZf/
+W/YF6SoRqQXsaLqge8HzvKBucwUAlWt/q4RVkjUrex4KtyJcLMxpKPVWGkreUMhhkP1X0shiMi6E
+FvqRZasfHSP7zdYqkRLkGzFQgHvB87xQIsCSOnlsM2Ep7SCFQIAd4Hgxg2mCY33G3JwylyCRHbEW
+OwA50cter//oy3obf7Ojgptl2bISCiop4guScAAWc8B7sBEDMEIBPjs7C+bz+p2FTAJsO8db1U6a
+hnLme5j55i9XUfOZdYc0IRNPsyV5UQ6sxVYzCpiMD6kFfBYILWAKcD9cu3YtWK1Wl6/LRDW5SFdW
+t/woj9Q1rbVGpGXu59nMh++aF5lDb37paAGTInk3rNLboqq5rIbsAZ4rXIYktIBnzoKJOPrg4x//
+ePqd3/mdCWrGprVGmqZw3c3JfaXUxlaFGUmSIIqijfb558XX56ksCcd85sPzHPMcMApzwFyCdFBk
+1qrS2/OeWRkhh4DnbW5KY0IrsQAnv/nM597sYlxDMzoBviAAcMWmQRzHCMOw1MoNwxBBEBiPmSzn
+c6Gx6s/89fyGMQoaG+UU4P1EaVxE7xaEltYrIfDcdcbnuh+ccZIgEXgJHeAubt3aC3fipAVYKQXX
+dRFF0YZ1C2wLrCQTVp7EqZ+HBoD5RRIOURYsrgGeLPk1qUprpOqR2BJCypGmoQxCWXKlfcmCBYxX
+gEU7MidJcinATQOwALMIJxZpKD3XLfeu5MpTWsCjxyS0dBcT0hxfOv8bHdYaYGC8AnzpK64SVqXU
+xq5IVdguQ4ohs4Bns8wCNp6Vc8AjJu86TtUjq5YQ0h2eMA1lEAmzYLkU4L4R5YNWSlkLa56qcyTS
+ZUgzD55bIsCFodEFPRxKPcq0RKuWkN3ReRpKjb2IgAZGKsBKqVC6I9JsNitdkpT/m/UndVXHwkuz
+mPtwne1feE4h6E+DqfV2RZawn2JLyPB4JUGqRcRbEdIF3S+O4wT1tWBchgSYRbZM0MsEWZqI43g5
+K/11ly9PUkbE9gG3oyNk3JRP0W0iXQMM17nTakAjYpQCjNwccBVKKfi+D8/zLq3grkiEc8BHy1nJ
+EiSHS5B6gNvRETItxEk4hC5oR2m6oHvmMgq6ymWc7Yi0WCwuE3OUYTNXnGoHCvW7dzgAFjO/dP43
+X55qzv82IQuOStV6K0e6kgmZFmV5EooEQgtYO6AF3Cdaa7EFDKzFdblcQimFNE23MmFlf+fz+VZZ
+8S8ApGoOCJakzeb+RYaXemgBy1BqHazGqGRC9gNXGIQl3QnJ4TrgfnEcRxwFna/nuq4x4YbWGp7n
+YbFYbBwrzZoVH4lWIs98f+1eKRmqpgu6lkxoOX9LyH7iC5chnQuDhYi/5wAAIABJREFUsBytKMB9
+YmsB1/QFwM4FHUmTcMwv0lAatyLcLGcSjjVKr69FojRdyoQcAK4jDcISWsBHLl3QPSPKhJXN+bbJ
+glVEa41YKMAz3yvfiKHwoy85UKXRem39JxfLgpi6kZDDwcHFMqQalFIIY1EqyuiTf/U/ud92XGNh
+rAIcAPXCmqZp7RaEGWW5oE1ESijAc3+dhKNkK6R8+SFZwNk8bpJq7oFMyAHjuln+hep6caykKxru
+tR7UiBilAHfhgraxiot1YyXcC9j3LlzQ2xQ93ukeZ8HSGkgu5nHpViaEZPiCbQgBeRpKjf2JgAZG
+KsCwdEE3oUqgpXPAs7m/jvAr8UFvLEPaM0swHzy1b++NENINnuvAdHsoGihhLBNglwK8E0QCXBeE
+VRRZx3FElrF0Dnju+6L5DWA/oqAzsc3mcwkhpIqy+2PxNizNgqWxP1mwgPEKsNgFXbaUyDQ3XCfA
+2TFpGsrlfIZ1GmjTT7xcqe42UGxXPHItK6RMpUkIsaQ0RqZAIAvA2qutCIGRCnC2DlgShGWLRAil
+LuhFSRrK9YkePU0ntL71UdQyRZcQ0g6ph1CcB9pRtID7Jk1T0W5IJgFWSl0+toKr4nhr5ySTBS0N
+wjqam9NQFjdHGvscqdZAnDJqmRDSLZ5hsxwToTQIS3MOuHekuyHlRTaOY4Theuq4LM1kGIaI47jU
+CtZaI9GeKA+06zqYz81C7RQ2Ak5GKGqZpRuniqJLCOkF15V5HcVbETqcA+4daSrKzAKOoghxXP8B
+SqzqBLL539nMh+c45sQSDjbKxyJwtHQJIbvEE2bBCsR7AVOAd4EoEUfmajZZtbZBT1l9qQDPZ17p
+RgzF0iGTcNDSJYQMhSucA5YKsN6jvYCBkQqwzRywzT7Akj5jCwvYLU72ZucBNoOwdhwBzehlQsgY
+kEZBi5chqYQCvAPEc8CSDRkyJFZxoueivmazizSUxqPDzAGnSiNO1hHME1z1RAjZM9YbMXSXCSvx
+GYTVO9IgrCRJLtf2lq0HzuPWRORprRELL8nM9y4CDB6VXRrYBUO7z6QVSgNxsp7X5UYHhJCx4DhA
+yWZxG2gAQSSygPXjTz9zt/3IxsMoBRgWmbA8z9sIwKqbC66zghNYWMDO5rfrsmute12GxHldQsjY
+kW5DGMUptMyRef8Tt/49+ZzjBBilADuOE0hcy2mawvd9+L6/8yjo+ewiD7TxRIV1wB0FYa1FVyNN
+Fed1CSGjRpqEQ7oGGM5+uZ+BkQqw67oiAc7qLBaL9Rpei4CsMqRpKP2Zt7aAjVkoN8vbuIZp7RJC
+pog0AEsswHuWhAMYqQDDYjekzKW8XC4vo6Lz4p13OS8Wiw2RNiXsSKOK9JI5sjzQRnLlGs0EmNYu
+IWTKeJIJYMgDsCjAO2I2mwUSa7aYitLzPLiuWxqQFcfxRhuTACfRQjTGxcIv/3WnH33tbJYgZYky
+4pS7DRFCpo14DlgWgAXt6L0TYFmizh1z+/Zt8TKkppiCszSAWAvzQC/WeaBNj2wOWANIBPtFKA2E
+scJZkCCMFcWXEDJ5XBfmG2ThEUSyTXXcPcuCBYxUgD/96U/HWus0W15U9sis2bp62aMuCCvRHvRW
+HqttPNeF73nQhn/rbQhz/yrENEk1zsMUZ0GCKKGrmRCyP2QWcN0jDBmENUZCAMd1lZRSouhmCZES
+rgGer5NwmLNgbZYXk3BcupkTrtslhOwvbsk9sshK6oLmHPBOCSAQ4GwpUheIlyD5fun8xqUb+oJM
+ZLOEGTEtXULIASDdiEG+DGn/XNBjFmBxMo6MthsySC1gf77OgmWiaIzHiUIQKSSMZiaE7CFl91nH
+0aJ7sHwnJEUB3iGiQKxiJHQZkjngWMsux6UFXPLd0voiL3Oq8TBMEKfNg8UIIYeDrdEwZuR5oIX3
+cJcu6J2hte49EvriPJfPpRbwfOZdbLO1/eVSF1F9l65nRjQTMgj7JGZTxClJVFQkDCJRfyp1KcA7
+pHMBrrWAhZfDn3lwHRgt4GLaSWavIvsAxYxkSL4JjgPAAWrvzhoIY9kccLSYUYB3iHU2LK315RaF
+SqmthBxxHCOKosvX+b8AECayVVnzbC9gAYx0JkUoZiRjX78JZXulF4nSVOgl1OFnf/a9D1sPbGSM
+WYCtLGCtNYIgqNwZKQxDBMFmt3khDpRMgP2Zv94GUTQ+UZekIRQzAuyvkLVmoAvjVcTI5IlC2fwv
+4OzVNoQZkxbgzOLVWuP8/LzWHV3mgs5u4tKtCJez2UW72hFOygKmmBGAYlbKAV6Ypm/ZEQZgSd3P
++5iEAxi3AIcSQUjTFGEYisSjNhOWcB3wYuGh6quZDSVVsjB8sr/w0y/hAC/MIb1l15UYKFY7Ib3R
+bkTjZLQCrJQKJBmu8ikp26DhIJUIsLOeA5YI65Ss3ynAq1nCAV6YA3zLk0I6RRdI01Bi/5JwACMW
+YAjngIvbD5oQWdKYib4wvufB82TzG2OOgB7vyAbmAC/MAb5lYkD0PRB+WVwI54DFLuj9S8IBjFiA
+XdcVuaC7WoYUC93Pvu+Jt9lKlWQWZE85wDd+gG+ZlNClmE0RR7YVMCKhC1rv4U5IwIgFGBZR0L7v
+Q7J/cBXiLFhziwjorlzQe/wftYwDfMukhEMXsyniutIgLOH0IYOwdot0Dlgphfl8jiRJLrcdzCiu
+9a3qTxoBPfM9OAC0wPBWKUZxYxjBEMhIEATukwNgFx+zZAZOuhXhPu4FDIxYgGGRC9p1XRwdHWG1
+WlXO91YLsNQF7cMR/rpL9AG7oA8AihkBxvYxj2M06zwcAgtYuhUhFNcB7xLpHHAWAe15Ho6Pj5Ek
+yWWZyRpeLBZbOyhpraHTJSD4MTbP0lAK3gOXIMmgi5FkjOtjHtdoahnRcF1ANgcsdEFrx6MA7xhx
+KsoMx3Hg+z58f3uZUPZ6uVwaBVitlqJBZVmwJF+uIbJgUcxIxrg+5nGNppIJDVXKzt+SI3NBR0IL
+2HdoAe8akQu6OO9bV6fMDR0Jg7Bms3W9S22tOPU6EYeoWzJyxvUxjms0lUxoqBL27O30wqM8+fmr
+ZbrvarEFrLyYArxjOt0PuA5pFHQxCKsqToyJOMyM66qMazSVTGioEvbs7ZALzA7C7RKVaqTCvdLP
+Xz+hAO8YkQvadj/gvAXcbC/grF4WYW2up7UsFVtbxnMTG89IRExsuHXs2dshLdjZd6HkRA4c0SqR
+MElFgVoAHn724++VbRo8McYswNYWcN7VbArAqsJmHbBoDTDa/EeY2O10YsOtY8/eDmnB0GI2RYQh
+MvIsWMBeWr/AHghwfjvCpijtINFebT0HDuYzT5YHWmk0+l+1R/8Rgb17O6QFFLPDoG4v4OyQdP4X
+FOBBsI6ClmAKwkqEl8H3XfFG00rpzm8EvK8QYMffA37pRs0oPx4HUIKRxUIBdvZ0L2Bg3AJsZQFL
+MQlwpIRJOGZr97MkvD5VI/3PQTagVUYy+BF1gyCBIQC5AGtoCvAAdCrAVW7jSOB+Bi4ioIUbTR9i
+BDTFjGTwIxqYAT+AfBBW1TCkWbDgUIB3juM4gTQTlqlemqYb88NZnTiOEUXRZTkABInsJ9vsIguW
+hLY7EVLMSAY/ogEZ0cUf0VBqkYw1jmXGk6Pogt45WutGy5CiKEIYbjbNC3AQBFsCfC70Ynu+X53h
+JVeumIRjlPAjGZARXfwRDWVktL8yrjAPdCTcwU45+s22YxorYxVgJ03TyHXd2op5AV6tVojjareG
+MQhLy+aAZzMP0LIgrH0X3z1/e+NnRB/AiIYyMg7vymhc3CIFbz0WLkO6mAN2s+5bDG90jE2AnYsH
+0jQNJQKcRUEnSSLaE9gowM5M9LHOfV+8EYPNHPBefaOmyIg+gBENZWQc3pUZzTu2HIijZRawOAo6
+Tt/EpgDvjRCPRYCdwgPn5+fxtWvXahtmAly0fIuJOKrmk2PhVoSe74mjoHtYhTQNRvSmRzSUEXF4
+V2VU73hUg+mJjhNxKBXfw6Nk0g72SIiHFuBLwcXFDlZZ2enpaSQR4MwFbbscKU+i56J6s5l/EWJf
+/5l3shXhiL5aIxrKiDi8qzKqdzyqwRwepsvvCN3PGkCSyCzgOHpYtICBPRHiIQV4Q3CLjxdeeCF+
+9tlnNczbaFySCa/ruiIRbuOCnnmeKMcpUJEjWtb8ADm8KzOqdzyqwRwe+3L518s069FKIxEmUdLn
+r1W5oB2sM/86mKAQDyHARsHFpjWcPQ8B1G7Uq5SC67pbruY6K9RxHGit5UFYi5nsyzW4+3lS38FO
+GNU7HtVgDg9e/uFwgfoPQAMqBVLhHPC92599E480oSjCGo/EOXeGabBrAXZL/paJsUiA0zTFfD5H
+HMe1qSmLFrCCixSSPNCA59sk4ZjMd2CLUY18VIM5LHjpJ8COPiTpadYxMoIlSGkq7XP10qd/KcSm
+yJqs3rLHqNmVANdZvWWPAEDtRHDmej46OsJqtaoU4aIAx0Lr1/d9eMLogvz3b1TfgFEN5rDgpZ8A
+IxOzKWKa4jMhnf/VWpe5n4tWb2kXohMNxC4EuMzqrbWGtdaR5APNzwMfHx8jSZKNDFn5v0opzGaP
+RDdIjwDBTpP+zIPOkozXfKRMwtEvvLQTgGJ2sBRXiZju4LFQgKF1PgI6667O6i0+mkfo9kyfAtzU
+6i26oGspppz0PA+e5xkF2HGcDQv5YXQiE2Dfh6NlG02P9tM2wBvYBKCYkR3S5nuQqWNdf+IkHNq4
+BtiW0Sbx6EuA64RVag13viXhtgtadglmM68mHvvRp6u0ZKaY7Jwdfij8/Amwh98DyRsSWCCJfC/g
+e1jrgXTON2/1ZnPFeUb1kfQhwFVi24sF3GbNbSQUYD9LwiEaT+PhTAdaZWTH7N13Ye/eEABoaMFd
+MhTmgdYqOcUjHbG5Yln9vPWbDWw0V75rATaJr1Rst6xgrXUomQPO5nubCHGkZFsR+r4PYQ4O+0+X
+YkZ2zN59F/iGRnImV9Sf1GupVWkaSom1uz24kYlwlwJsI7pSYRbMztZnwcrW+5oQrwH2Pazjry7m
+kyvqanUgVjABMJL/yV3CNzTis4wbDQ2t640m6VaESC8t4CqqrF1TXalg905XAiwRVmtrWGvdiQBv
+DLRgUYdCC9ibrV3QsmVI/K/YlL27cnxDIz4L6QPJ7S9Jqnety0jT8BTbwuqgXkSrxLg4pzwYXQhw
+W/GtmiPuPAgL2LSIpUFYvreuN6WFZ2MZR2fwDY34LGRw+pgesx6DLBVlnMiMpjSOihZw0dq1sXrL
+ygb7L9JWgPNiWXxdJb6iwCytdadBWKZ60kQcs5kn9ldM4oY3iUHaQDEjHTIGMZsYa3NSdlVS4Tpg
+FT3MBNh2jldybHARbiPAUqFt4op2sRZgkQu6aAEX1//mnxdd0PIoaL/8I9L5p3oP/mdSzEiHUMwO
+A2fb/WyKodUa4o0YoocP8i7oMld0HlsRzo9w51/DLgW4WGYrvqZjVnPAeddymqZQSm2IcfaIogha
+a6TahRIEDLiOA891ofMpXsqabX2EFDPSITUfNL8HJGOI70LxnCbnpNIaqdAFHTx4pSwIKy/KUhHW
+hr6Kr3d62ZoKsERwi8dt3dRiCzgfhBVFEcJw7bk2ia/WGkEQAABCHInerOd70E7BuVLyMSnI3TBk
+YChmRMhBfhcs37QDWaZAreVxO6tX/uA+HoltU/dyWZlJkEcvwFLBbeOSto6C1lpjtVohSZLKLQnz
+LugEwo0YZutIadEnc4j/U+liJBYc5HfhQN60xPhIc57Jmt7ie1/6/XM80pc2c7zr4W0HdJmO7+zT
+aiPA+eemR/G4tSArpcRzwNkGDDaI01D6NhHQLT87ihmx4CC/Cwf5pqsZwyXJJmfrsNgJKZv/zYuk
+jXtZKrimuju5pLYCXBTW/PO6h6luZZmNBRzH9evKsjni7G8stIDXGztAuAZ4HP8ZiB0H+Zkd5Juu
+h5elObI1wNKdkFQ+DWWdCNcFWAHVgjuIK9pGgMuEs+x5a6tYKsBN01BKs2D5s3UENOd2ZRzkVTrI
+N10PL8thIbOAhXmg0+RNlLufJfO5RYu2SnBNdXv/+jaxgOuEtey59byxUkqULkUpdWnVlomxKR2l
+2AL2ZflN++Agb2AH+abr4WUhY0ZDwxGsKpFGQCuV3ke5aNq4l4ttq/oqPu8VqQBLxFVSr9imck2w
+dA5YKQXP8y5/WVXlfs4fF2fB8v3p3vwmO/B+4WUhpHskXsIklQlwbiekjDbzuVLBLT7v9VbRxAVd
+9ryJCFe1t0rEMZ/PEcexVSBWgrmonu9f5IvmXdsILwshRHojSFKxCzovwFUu5q6s3Z1bwTYWsOR5
+WxEuuqCtckEfHR1htVrVinC2FEmahtL3vJ2oDIWMEDJVpPcvJc0DnUQPUD4HnBfJrgV3Z1awRIDb
+iqrkubHMxgUNAK7r4uTkBHEcX64HNq0Jns/nSNMUaToTXVpvyi5oQggZEanQBY0kKLOApe7mtoI7
+Chd0n8KLquNpmoqDsPJC63kePO/RNoPFjFhr8VVIVtIgLNmWhYQQQqqRuqCTOMiCsLoSX5OwmkR2
+ZyLs1le5xOR67sv6BSwE2Gbe95H7WZYH2rnIA00IIaQ9SSwU4NX904unEj2B4LnkeJWR2Dl1FnDd
+wE1lnVi/AJAkiXUu6AyT6zm/I1KgpNsQdrFlMiGEEACIIpFdhfDBK6+hndvY9HxUbmiJaWfz66Cp
+OBv7T9NULMDFbQZN5Oucp0tJ15jNZUJNCCGkmiRJxJmwTr/0e3kBbuxJrXheRZ2udUKdADcZZFNx
+3iqL47ixBVwnyGepbAnSYiGrRwghpJrVuWhhC7RK33jw0ufPL1628aZWMZjrOUM6uWnjT69qb3PB
+nDYCXDkYx8H95FhUlwJMCCHd8PDhmaheGgV/dPG0rSC2FdZexbhKgLsW2jq2zhMJJwuKQVh11q+G
+gzelArykABNCSBc8uC8T4CR88Iclh/q2fndKFxFGXfyqMIp8EAQif0W2DKkYeFWWjvJ+vECqBca/
+AxwdyeaKCSGElLM6DxCGIqcmzt/4wj9HeWCUCdPxfOCVNJCqSZvGNF1f07XoGuuvVqsIgotg64J+
+NbwqqreYzx+loSSEENKYu3dO6ysB0FqFL3/q479rONSVK7rPNlb0vcC17RvQWuvaRWOZtSuJhAYc
+3F7JBPjkypGoHiGEkHKSOMG9N2UCnAYP/7/Vg9fqph+7EMedu5yLjC3DhOmCdBIJnYn0q6sjhErm
+eT85kc0TE0IIKefVV+9AK5lH9/yNP/kHPQ9ncOHNGJsAmz4h8Txwbeca+MPTa6KBOI6Dx65eEdUl
+hBBi5vw8wN27b8oqa/Xgi5/8W/+03xGNh74FWBf+2negdSeR0I7j4OXVMe6FC9F5r167As8b2+8T
+QgiZDlpp3H7xFbEChPdf+/vBvZcD1LdoEyDVWpe6oqnCtHkD0rYaALTWnVjAq8TD7955QtIVAODG
+DZmlTAghxIAGbn/pVQgXswBapy//i1/+O9U9bvwtPq8ZjVX9pm2s6GIZUj5ku8q3XpZvs65t66VI
+SgOfeuNJREoW0TybzXDl6omoLiGEkG1eefl13LsnC7wCgPDsjV964/P/6BWYBbZPY69tm8ZUWcBd
+Db7JL5T8c/GWhMC261nDwaffeBJ3ApnrGQC+7KknxjNLTwghE0Jjbfm+/vpdm1arL33y4z+Peu0o
+E+f8o6ytpJ+dIrWAy3aIaGLxFttX9qm1vi8Z4J07d/DYY49tlIXKw6deu4nXA3kyjfl8hhuPy5Yp
+EUIIeUQSJ3jxi6+IU05mnN958Rfu/clvvH7xsiikXRh2+ec2/fQqznUCLBVZyfZPUjb6TJLkC77v
+f1tdoxdffBE3b97ElStXoAF88eEJPnP3OsLULpHGU08/KVxPTAghBFgHwb7xxj3cee0eUsvESGm8
++qM/+tUf/5uoFl7J87Ky4nNTWV37XpBYwHWWahuRrhJuAHDCMPzccllvwYZhiM997g/w2DPfhNvp
+23Aa2edwvnLlGNevP1ZfkRBCDhgNIIkSnJ+v8ODBGU5PH0CldsILAFrr6LXP/Np/m6weZFONeQGE
+4Hmd4HZhTQ8ehGUSWYmLWfK88vjt27f/ydWrV2PHcSo25nUQXv063L7+jVCr5sFT5+cBPvfZFxq3
+J4SQQyBVaSeydP/lz/53t//5x/8IZqFsKqJNrd2dW8E2Lug2Ilv1vPL4K6+8cvervuqrfn0+n/8F
+0wCV/xjOn/7zUAv5EqMybHNKE0IIaUZ4+srf/pe//BO/jGoRLD7K6tU9h+G5qWynIixZB9zVmy0+
+r/PdXz5//fXX/zoAgzo6WH3Zn+tEfAkhhOyG8MFrf+/3/85H/wrshbaNCLcV586RRiiV7Z1Yt6di
+1V7CpmPGOnfu3HnjrW996w3f978xfzA5/nJEN/606A0QQggZnvD0lY///v/53E9DJQrlArwLq3hQ
+9zMgF2BAJqy2gpt/bgrkuiw7PT397aeeeurPua77ZFYWX/lTSI/eUjloQgghw6Oh1dlrf/xXP/d3
+f+z5i/m+vIiaxLhYhpLnfQtyb3RlAaPiedUxaT2EYRgHQfCPb968+R2O41wFgPTky5EunxIMnxBC
+yFCoNHn9zuf/8XN//A//+19DvdCWiWydxdyXCPdGFxZw3eum7bZen52dnWmt/8mNGze+3XGca9pd
+ILnylVVjJoQQMhAaWkUPXv/7X/jE//hjr33mH3wBcvGts4DrLGKp9Vz3vFfsslQ8ogtr16btJaen
+p6dnZ2e/8sQTT/xrM716e/zYO6Bd+zW/hBBCekMnq/u/8dpnfuW/eeHXfubvhfdfX0FuvUpd0k1d
+1KOwfgH7LFUO1pHTTu7hCp43OV5WJ3t43/RN3/QdJ2/5uo+Eb/n3n9JuxTJhQgghvaPS5LXo4Ruf
+uPPCP/2ll3/7/3oB9qILyMTXVF7Xrk7Ai3V7p0nOxT4Ft0yEi+e9fMxmM+8b3vUX3zN75tvfj+UT
+j2t31uQ9EUIIsSNRaXJXRecvRGd3fv/hq3/021/8rb/9WSRJinrrs0pspcJsK7i2x3uniVhJrdWm
+gltl+VaKMQDnLV/zzrcsfPf6upp2NOBAP/prfM9aOxr6UbnO6jwq01XXqqxfQgjpkVTp4PTBmXzP
+vwKO60Val+3T6hRFSAOA46zLz17+/H08Eqoq16+prI0rWiKeTUR4p+ILNBeNvqxdK7EVPlBTVnyO
+QjkM5WXXgxBCpkQEcyyQSYS04a/0eZ0VXPxrK8pdCvLOkOaCLqKwmUXLweYHVjxeV6ZLjjkl7crI
++tHYFlyN/gS47hghhIyRMtExWr+F8qLQ5su6soYloixdxmQqMwVy7YymAgy0E9xiWZ3QZnUkj6xu
+Nsb8MW14DsgFuKysqpwQQsaKRHzzZUUBLv5tIrz5cdjMDVeJr9QCLo5rp3QlwEULuKwMkAttmWVc
+NR6J+7kowoCdABfrlL0mhJCxkxZel4lQUwHOPzcJro013NYqHjzoqkgbAQY2L6bE2i0eqxLYvGVs
+M99bFOJiGWAWXFsBLkIBJoRMDY1tES6rl/9rKqsTYKCd8LYR5rLzFt/TTmkrwIBMhE1CW3Q964q6
+xfOZ5nmbzvkW3dGoeF5GUcAJIWQK5KfsyjCJbv65jQCXCWBTYZZaw2V1Bt2DtgsBBupFWDrHK7V6
+q+Z5paJbtHIpwISQQyNF/TRfUwE2lVWJcV9WcZ0wD0ZXAgxUizByx5rM8dZZvSg5pg3PgXoBLr6u
+E1eKLyFkikhEyEaAi3+rxNZUXla3L6t4ULoUYGBbhKsE1naOt2j1SpYbSYKuKMCEkEOlTozKxDf/
+2laAi69thReQiWyVMI+CrgUY2LxApjneJv2VCTIM5Sh5XjfnWyXAptfSY4QQMlbq5oC7EOAqQS7W
+24U1PBr6EGBg+002iWguCme+7zYBV1VzvlVWLwWYELJvVM0Blwlu8XWd5Wsqa2sNA80yaY2KvgQY
+ePSGm1q9NnO+KBwvup1NAgxsC29TK5gCTAiZImUuaKn4Zs/LXkuFuKqsq6VLo2NXwtHE6i1zK0vL
+UPLXxvI1XR9TWdN9lQkhZEgSmL2MRcpc0UWBzZ5XCXJTMW5qDY+WPi3gPJJfH7ZWr437WRuOAfUC
+bHptghYwIWSKpJDdv2wFuPi3CwEG7K3hUbMrAQYeXZAqS1e6vlciusXnZa+BatGVfDlH/SuLEEJK
+kAhVnfu5rsxGgPPPbaxhYCJWb55dCnBG8YNqsr4XDZ6XvQaqBdj0ushkPnBCCMlRd++qEt/8axsB
+NpVJxVh6bBIM7To1Catbcaxs/td0rFhW9hqQCW7VtfJqjhNCyBhJao73KcCmYxI39OSFN2MsorHL
+oCuJAJvKqq7VEJ4EQghpS4py8aoT33xZnQAX/3bphp4sYxHgjKaiayO4ZfO7UsE1lVOACSFTpMwC
+rhJbU1lfApx/vjfCmzE24cgubJ9BV2VCa+t2zo+tyVpnQggZmnwUdJWo1QnyLgV4bxibAGcUhRgN
+n2v0J8B5GIRFCJkiGvYbMpjK2giwqaxMjPeKsQpwRv7C561ibXierwPDX5syGI5XQQEmhEyRLG1j
+FXVzxHWBWW2DsvaWsc0BS5AGXdkKcPF58ZxVjP2HDCGEmLCNgjaVNxHg4t+DEd08UxQOk1VcfA3D
+37qy4vMiVcdoARNCpoh0K8KqY1XuZ1NZlQAfFFO0gMuosorL6hTLTcfK6uXhOmBCyBQpW4Zk45Zu
+K8AHyxQt4DKKH2ofAlxWxxTcRQghYyctvJaIoo37uayMYL8EuIjpQ+9KgItk6TQJIWRKFAVYgq0A
+kxL2WYBNlH05bLJemeAcMCFkitjOv5YJLmnAoQlwGW2/VBRgQsgUkSxDIj1BAe4GCjAhZIpQfAeE
+gUPdwOtICJkiFGBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYRD77/nAAAAoUlEQVQQQgghhBBCCCGE
+EEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBC
+CCGEEEIIIYQQQgghhBBCCCGEEEIIIYQQQgghhBBCCCGE/P/twQEJAAAAgKD/r/sRKgAAAAAAAAAA
+AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAOwFUhhubebd6QAAAAAASUVORK5CYII=
+"
+ id="image7640"
+ x="242.05431"
+ y="112.98055"
+ style="stroke-width:2.62548" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1;stroke-miterlimit:4;stroke-dasharray:none;marker-end:url(#Arrow1Mend)"
+ d="M 147.15073,80.962811 V 93.477746 H 74.989012 v 12.859624"
+ id="path12236" />
+ <path
+ style="fill:none;stroke:#000000;stroke-width:1.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#Arrow1Mend-3)"
+ d="m 190.64085,80.962811 v 12.514935 h 72.16171 v 12.859624"
+ id="path12236-6" />
+ <image
+ width="34.06498"
+ height="34.06498"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAgAAAAIACAYAAAD0eNT6AACAAElEQVR42uydd3iUVdqH75n03isJ
+pJDQe+9FqiIIYsFe97Ouurrrqrtrd9V1197ddRVRFBUQQaR3CDXUQEgCJCQhvffMzPfHAItKyTlT
+3pnJua9rLhDzvOecSTLv8z7l94BCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKh
+UCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKG6LTegMKhULhooQBMUDc6b8H
+A0GnX8FAyK/+2wMIANx/dR0vwPdX/1YBGIEqoBWoAVqAWqAJKANKgVNAyTmvM//doPWbo9Ae5QAo
+FAqFON5AZyAFSADi+d/NPgbocPprHJUa4BiQffqVc87fczE7EwoXRzkACoVCcX50QCLQDUjFfLM/
+84oH9Fpv0Ea0YnYCDgPpwJ7TrxzApPXmFNZDOQAKhUIBfkBPoM/pV+/Tr0CtN+ZAVGN2CNIxOwS7
+gIOYUxEKJ0Q5AAqFor3hDvQChgFDTr9ScN0neltSAWwE1p9+pQMGrTelaBvKAVAo2hdhF3iFn/7T
+F3PR2bn4AZ7n/PeZYrNmoA5z0Vn9OX82ApVAOeZitHP/1OLmEAiMAYZjvukPPH0mhfWpxuwQbADW
+ATtREQKHRTkAro0ec3Vx8Om/B53+95CL2Jz5EK/G/EFee/qlioKcA0/MeeszBWqdz3l14rcV5vam
+CnN1ehlQBJwATp5+5Z7+Mx+zcyGLHzASGHf6NQBw0/jc7ZUiYMnp10pU94FDoRwA50OHucI4EegI
+RGKuPI46/e+h/O+mb8385ZmWowbMjkHx6VcRUIi5tajg9H8Xn/57ndZvlovjj/nmdiaM3Q/zz4Sz
+3+xMmNvV8oCjQAZwBHNR2lHMTuqv6Qpccfo1EnNLncKxqMfsBJxxCIq13lB7RzkAjos/0ANzIVIP
+zE9wSadfXhZc157UY37Cyz7P6xiWPeW1N3SYi9SGYr7ZDwa64/w3e1EMwHHMzkAG5ojHFUCy1htT
+CGEEtgBfAN9griVQ2BnlADgGAZg/0Idizk/2xvyE78rfHyPmJ7xsIAtzNfF+YB/m8LDCnLKZCEw9
+/YrRekMKhQ1oxBwR+BxYjrkNUWEHXPkG48hEAxMwFyYNxdxn3N6e5C5GAf9zBvaffh2ifUQM+mC+
+2U8BRqB9zl6hsCdFwFfAZ5g7ChQ2RDkA9sEXGIv5pj8BcyhXvfditGCOEmwDtgNpmMPArlBhnATc
+Atx8+u8KhcLcQfAm5hRBe3D+7Y66CdmOEMy5yZmYn+Z8Lbuc4jxUAzswOwNpmB2DU1pvqo0EAddg
+vvGPRP0uuhzu7u6EhoYSFhb2m1d4ePjZv/v7+xMcHIxOpyMkJASdTkdwcPAFr1tdXY3BYDj7Z1VV
+FUajkaqqKhobGykpKaG0tJRTp06d/fuZ/66urtb6bZGhEHgf+BBVOGhV1IeOdQkAZgNzMD/xq0pk
++3MEcw/yesx9yPlab+gcdJgjQLcDVwE+Wm9IIY+npydxcXEkJSWRlJREbGwsMTExZ/87ISEBvd6x
+tIWam5s5efIkOTk5v3kdOXKE2tparbd4MRoxpwfeBPZqvRlXQDkAlqPHfLO/DZiFEhhxNLL5n0rZ
+Osy95vbGE7geeAyzAp3CiQgLC6NXr1706NHj7J/JycnExLhWTabJZOLEiRPs3buX9PT0s38eO3ZM
+662djzXAc5h/rxWSKAdAnnDgd6dfnbTejKLNZAI/n36tw7ZaBYHA/wG/x6zVoHBg3Nzc6N69O4MG
+DaJnz55nX652oxelsrKS9PR00tPT2bZtGxs2bKCwsFDrbZ1hDfA0sEnrjTgjygEQpxfmD/QbUSFc
+Z6cJ2AyswOwQ7MU60846AA9hvvmrYTIOSkxMDAMHDmTAgAEMGDCAESNGEBISYvmF2wE5OTls3ryZ
+TZs2sWrVKnJycrTe0krMjsBWrTfiTCgHoO2MB54ELtN6IwqbcRL4EVgMrOX8inMXIxh4ArOD6JCz
+4N3c3IiIiCAyMpLo6GiioqIICQnB19eX4OBg/Pz88PX1JSAg4ILXqKmpobW1lfr6epqamqitraWi
+ooLKykoqKyupqKigrKyMgoICampqtD7yWTp37sz48eMZN24cI0eOJC5OBWWsxYkTJ1izZg0//vgj
+K1as0LKWYDlmR2C71u+JM6AcgEszFngWGK31Ri6Ft7c3MTExxMbGEhISQkBAAAEBAYSEhBAYGEhA
+QABeXmYRQXd39998yJ+pJD5DdXU19fX11NbWUlVVRVVVFbW1tVRWVlJaWkpxcTEVFS4r4FWLOSqw
+BLNTcDFxIg/gXuCvmFNDmuLt7U2PHj1ISUkhOTmZzp07k5ycTHJyMtHR0XYtTKuvr+fUqVMUFhaS
+l5fH8ePHOXbs2Nk/jx07RmurbXRfYmNjmTBhAuPHj2f8+PHEx8fb7dztmaamJtauXcsPP/zAjz/+
+SF5enr23YMJcLPg4ZqdecQGUA3BhRmEuMhmr9UbO4OfnR+fOnUlJSaFz58507tyZTp06ERMTQ0xM
+DKGhoXbfU0tLy9lWo5MnT3Ly5Eny8/M5ceIE+fn55OXlcezYMZqbnbqNtxVzvcACYCHmuQdnuBr4
+O+bBO3bHz8+PIUOGMGDAAPr06UOfPn3o2rUr7u7OoR/U3NxMVlYWGRkZHDlyhAMHDpCenk5mZiYG
+g9jgQL1ez8CBA7nyyiu54oor6Nu3Lzqd+ojTmj179rB48WK+/PJLjh49as+l6zD/bv4TcweB4leo
+347fkgj8A/MHuybodDqSkpLo378//fv3p1+/fvTq1YvY2Fit3xspDAYDeXl5ZGVlkZ2dffYD/+DB
+gxw/flzr7QkfB3Pl8VLMXR8j7Ll4aGgoo0aNYtSoUYwcOZIBAwY4zc1ehLq6Ovbt28fu3bvPFp7l
+5v62gcPNzY3Ro0dz/fXXc9VVVxEZGan11hUXYevWrXzxxRfMnz+f8vJyey17DHgUs/OuOAflAPwP
+P8w5/j9g5/ytt7c3Q4cOZezYsYwaNYoBAwYQFBRk+YWdgOrqag4cOMCBAwfYv3//2T/LytQ4gDP0
+6dOHyy+/nCuuuIKhQ4fi5tY+VaNPnDjBhg0b2LhxI4cOHWLmzJnceOONREdHa701hSDNzc0sW7aM
+zz//nGXLltHUJFpuI8Uq4GHMiqIKlANwhusxh4ns8oit1+sZOnQoEydOZOzYsQwdOhRvb4esGdOM
+wsJCDhw4wL59+0hLS2Pbtm1a5BI1QafTMWTIEObMmcOsWbNUsZrCpSktLeWTTz7hvffes8fveAvm
+tMCLKHnhdu8ARAHvYodwv5eXF+PHj+eqq65ixowZREVFaX12p6OgoIC0tDS2bt1KWloaO3fupL6+
+XuttWY3u3btzww03MGfOHJKS1EgARfuitbWV77//nrfeeovNmzfberkDmBU5d2p9bi1pzw7ANcB7
+2LBq293dnSlTpnDjjTdy+eWXExioWsKtSWtrK/v372fbtm1s2bKFNWvWUFBQoPW2hPDy8mL27Nnc
+c889jBw5UuvtKDSkut4cBm9qbqW5tRWjyURtQzNuOh1+Pp6/+Fo3vR5fb098vTxwd3MsuWFrsHPn
+Tt566y2+/vprWxYQt2KO/D6NeMuvS9AeHYBw4N/AdFst0KVLF26//XZuueWWdq8iZm+OHDnC2rVr
+z75KSkosv6gNSEhI4L777uP2228nPFzzzkGFlWluNVBYVk1hWQ2lVXVU1DZQVl1PRU0DFbX1VNc1
+UdfQTF1jM7WNzdQ3yt/k9Hod/t6eeHt54OXuTpC/N6EBPoQE+BIe5EtogC9hgb6EBfkRFuhLbFig
+0zgN+fn5vPzyy3z88ce2rBPYhzkasFvr89qb9uYADMU8WtLqDcGenp5cf/31/O53v2PECLsWhisu
+gMlk4sCBA6xdu5Y1a9awbt26X+gcaEH37t15/PHHmTNnDh4ealaUs2I0msgvqyK/pJqCsmrzzb68
+xvz30mpKq+swWUNT0ga46fVEhwYQHxlEXEQQ8RHBxEcG0TEyhI6Rwej1jndbOHnyJC+//DKffPKJ
+rRyBVuCF0y+x/lMnxvG+07bjd8DbmAezWI2AgADuvvtuHnnkEVWs5eC0tLSwadMmfvrpJ5YuXcqh
+Q4fstvbAgQN56qmnmD59usNNiFNcnOLKWnIKyjmaX0pOQRlZ+WXkFJbT1GIbASMt8fHyIKVDOF07
+RtAl3vxKjg3Dw90xOk/y8vL4+9//zr///W9bpQbWATdgHkHs8rQHByAA+Bi4zpoXjYqK4ve//z33
+3nuv0g93Uo4fP86yZctYtmwZa9asoaGhweprpKSk8OKLLzJ79mwlSuMEFFXUcOBYEfuPneLQiSKy
+Tpaezc23Vzzc3UiJC6d/SgcGpHagb3IsAb5emu4pNzeXv/71r8ydOxeT9UMtxcBNmOcLuDSu/onU
+E/geK6q0BQYG8vjjj/PII4/g46NmAbkKDQ0NrFixgu+//54lS5ZYLHEcFRXF3/72N+6++24V6ncS
+TCZIy8jly9V72HrohMOG8LVGr9eRGhdB/5RYBnaJY2BqHL7eVg2stpm0tDQeeugh0tLSrH1pA/AM
+8BJg1ORwdsCVHYCxwCLAKoo6Hh4e/O53v+Ppp58mIiJC67MpbEhLSwvr1q3j+++/Z9GiRZw6darN
+tu7u7jzwwAM899xzFx2oowWtBiMFZdUUlFZTVl1HRU0DJVXmP6vqGmlqaaWuoZn6phaaWlp/W5im
+0xHg44Wnuxvenu74+Xji6e6Gr7cnPp7u+Hh5nC08Cwv0IzzQl/BgP0IDfJ2m6OwMOYXlfLU6nWVp
+h10y1G9NPN3dGNgljjF9khjVO5HIYH+7rm8ymZg7dy5PPPGELbqA1mJOCbT9Q8CJcFUH4Frgc8Aq
+caqZM2fy8ssvk5qaqvW5Lkh9YzOF5TVU1jacrjRuoLK2kcraBhqaWswf6s2tNLW2Ut/YgsFwfqfW
+w90Nby93vNzd8fJ0P/vBHuDrRaCv9+k/vQj08yYs0JeIYH98vVz3CddoNLJ582a++uorFixYQGlp
+6QW/dujQobz//vv07dtX0z03tbSSnV9G5slSMk+WcvxUOSdLqzhVXoPRqM1jbWiADxHB/nSKCqFj
+VDAJUSF0jDIXnfn7aPP02BYqahpYsH4fX67eQ21Du9eNuSQ6HXTrFMXYPkmM7ZtMUoz95pPU1tby
+0ksv8frrr9PYaFXp/3zMXWMu1yXgig7Ag8AbgMWPHB06dOD999/nyiuv1PpMANQ1NpOdX0ZWQRnH
+T1X8ovK4uk67WRc+Xh5EnG4xigkLJC4i6JxXMKEBrpEqaWlpYeXKlcyfP59FixadHXUbEBDAq6++
+yu9+9ztNCvwKSqtJzy4gPauA9OxCjp8q1+xGL0NYoC8J0aF0iY+gR0IU3TpFEh8RjCOVTFTXNTJ3
+5W6+XruX+qYWrbfjNHTvFMkVQ7sxZXAXgvzso3aamZnJHXfcYW0xoTrMdQGL7HIIO+FAv2JWOcvT
+p1+WXUin44477uC1114jODhYk8PUNTazL6eQvdmFZOaVkJVfRkFZtSZ7sRRfb0+zMxD+P8cgISqE
+lLhwzYuJZKmrq+Pbb79l7dq1PP300yQmJtpv7cZm0jLy2HzgOFsPnaC4QrPZ6zYjwNeLbp0i6dEp
+iu4JUfTrHEuwv/aOZEVNA//9eSffrt+vUgMCeLi7MaJnAtOGdmVEzwSbdxUYjUbeeustnnrqKWuq
+hZowT4h9xrbvlv1wFQdAB3wE3GXphRITE/noo4+YMGGCXQ9QXd/EtkMnzE9xWQVkFZQ51VOcLDGh
+AaTERZAaH05Kh3BS48PpEB6E3pEe/xyAytoGVu3KYvXuo+zJKqDV4LJ1SedFr9OREhfO4K7xDOoa
+T7/OsfhomHoqqazj0+U7WLjpIC2t7aZt3CqEBvgwa3QvrhnTm7BAX5uulZWVxZ133smGDRusedmP
+gfsxzxVwalzlU/Y1zOMeLeKGG27gww8/xN/fPkUsx06Vs3HfcTYdOMberEIMxvb1oX4hfL086Nwh
+nJS4cHokRNErKZqEqFCHCgnbg8bmVlbvPsrPOzNJO5Snfj7OwcPdjV6J0Qzp1pExfZLo3CFMk30U
+lFbzxncbWbMnW+u3xOnwcHdj0sBU5ozvQ9eOthvjbDQaee+99/jzn/9MXV2dtS67GpgNVNr+nbId
+rvCR+nfgz5ZcwMvLi3/+85/cf//9Nt/syZIqlqUd5qe0I+SVVNrpLXJ+Av286Z0UTa/EGPokx9A9
+Icpliw+zC8r4bsMBlqVlqMKzNhIfEcy4fsmM75dMj4RouzuL2zPyeG3BBnIK1BhrGfqndOCGy/oy
+pk+yzb53R48e5brrrmPPnj3WumQGMBlw2jGlzu4A/BVzTkaaTp06sWDBAgYNGmSzTVbXN7Fq11GW
+bstgX06h6i+2Am56PZ07hNEnOYZ+KR0YmBpHiBMXGxpNJjbuO8bclbtJz3KugUaORmSIP2P7JDN5
+UCp9ku03i8NgNPLNun189GMaNe1cPEiW1PgI7rlyCKN6JdnEEWhsbOSRRx7hgw8+sNYlc4HLgCz7
+vUvWw5kdgEeAf1lygSlTpvDFF18QFmab8GFuUSXzVu/hx60ZqmDIxuh0kNIhnIFd4hncNZ7+KbGa
+iZOI0Gow8vOOI3z28y5yCsu13o7L0SkqhOnDu3P5kK5EBPvZZc2KmgbeW7yVxZsPYlTevhTdO0Xy
+f1cOZUTPBJtc/+uvv+buu+8+28ljIfnARMwRAafCWR2AOcA8S/Z/11138f777+Pu7m71ze3OzOeL
+VXvYtP+Y+gDQCDe9nh6JUQzuGs+Qbh3pkxTjUENOjCYTy7cf4YMl2ygodc7uDmdCr9cxvEcnpg/v
+zqheiXbRtt+XXchzc1dx/JRlqpLtmV6J0dx31XAGdbH+nJWjR49y7bXXkp6ebo3LFQOTgL32fYcs
+w3E+EdvOAGAjIB3v/ctf/sJzzz1ndW323Zn5vL1wM/uPuaRolFMT6OfNsO4dGdkrkeE9OtmtJ/l8
+bD5wnHcXbSHzZKnlF1MIExroy+zRvZg9prfNNSqaWwx8+OM2vli5RxVxWsD4fsk8PHsUsWGBVr1u
+Y2Mj999/P//5z3+scbkKYAqwXYO3SApncwCigR2AlDuo1+t56623rF7sl11QxtsLN7Np/3Gt3x9F
+G9DrdfRKjGZkr0RG904kOdY+FeS5xZX8Y/56th46ofVboAA8PdyYMqgLN1zWz+ZdBIdOFPPc5yvJ
+yldFgrJ4ebhzy6T+3Dp5IN6e1o3cvvrqqzzxxBMYLXfSqoFpmB9SHR5ncgC8gDXAcBljT09P5s2b
+x+zZs622odKqOt5dtIWlaYfbRc++q5IYHcplAzozoX+KTW4EzS0G/vvzTv77806aW1TPuCMyuFs8
+N03oz/AenWy2Rkurgf/8tINPl+9sdzoO1iQ6NICHrx7JhAFWm/EGwMKFC7n55put0SpYh7kmYKtG
+b1GbcSYH4N/AHTKGbm5ufPPNN8yaNctqm1myNYPXF2xo96NCXY17pw/jzsut1xGyN7uQZ/67UrV8
+Ogk9E6P53bQhNnUEDucW8+Qny8ktrtT6uE7NqF6JPHnjeKsWd+7atYvp06dbY6hQBTAG2K/hW3RJ
+nMUBuBd4T+qAOh2ffvopt956q1U2Ulhew4tfrGbboVyt3xOFlZk1qid/vmGcVVQIm1sNfLhkG3NX
+7lbRISekd1IMd08bzLDutnEE6hubefmrdSxLO6z1UZ2aQF8vHrtuDJcP6Wq1a548eZLp06dbQy+g
+EBgFOKxKlDM4AF2BXYCUZuTbb7/NAw88YJWNfLdhP29+t0kNA3FBpg3rxtO3TLRK73FOQRlP/nu5
+yve6AL2TY3hgxnD6p3awyfWXpR3m5a/W/Xb0skKI0b2TePLGcYQHWScaUFtby8yZM1m1apWll8rB
+7AQ4pLiH7XthLMMDWAZIueEvvvgijz5qsUIwDU0tPPv5Kv67fCctKnfncozvl8zzt0+2SpvgT9uP
+8Oj7P7rkgJ72SFFFLUu2ZpCVX0rXTpFW7x5JiQvnsv6d2ZtdSGmV1WRq2x0niir4YWsGseGBVinq
+9fT05Nprr2Xfvn1kZmZacqkQzGqBXwMNWr9Pv8bRHYDngOtkDO+9915efvllizdw/FQF97+1iB2H
+nVbtUXERhnbvyKv/dwXubpaN8W1uNfDa1xt4Z9EWVeDlghw7VcH3Gw9Q29BMj4QovDysV4Ue5OfN
+tGHdqKlv4uDxIq2P6rQ0tbSyencWFTUNDO4Wj5uFo7nd3d2ZPXs2R44c4eDBg5ZcKhIYC8wHHCrU
+48gOwAjMhX/C38WRI0cyf/583NwsO96aPVk89O4S9TTnovROjuHNB2ZY/GFeUdPA799ZzFo1EMal
+MRpN7MspZPHmQ/h4edCtU6TVtETc9HpG9EwgOjSArQdPYFB1I9IcOlHE1oMnGNKto8Xjxt3c3Jg1
+axa5ubmWCgbFAX0wRwIc5pvrqA5AAPAzIBzLiYmJYeXKlQQHB1u0gW/W7eO5z1fRrEZ9uiSxYYG8
+9/Asiz8g8koqufeNhWTmKVGf9kJjcyubDxxnw75jdOsUZdUq9C7xEYzomcCWgyfUICgLKKmq44ct
+h+gYGUxSTKhF19Lr9cyYMYOqqiq2bdtmyaVSMdeyrdT6/TmDozoArwJTRY28vb35+eef6drVsorQ
+D5Zs4+2Fmx3HTVNYFX8fT95/ZJbFqmK7M/O5781FKkLUTimrrueHLYdobG6hT3KsxWmkM4QH+TFx
+QCr7c05RpH62pGlpNbB691Eam1sZ1DXeomiNTqdjypQp1nAChgMngHSt3x9wTAegJ5Kh/w8//JBp
+06ZJL2w0mvj7l2uZt8pq4yIVDoabXs8/751Gr6Roi66zaf9xHnl/CfWNqiOkPWMymdibXcjPOzJJ
+jA4lLiLIKtf18/Zk6pCulFTWcSSvROtjOjV7swvJPFnK6N6Wz4CYPHkyJ06csDQdMBVYiwOMEXZE
+B2A+kCxqdN111/Hiiy9KL2oywTOfreSHLYe0Pr/ChvzxujFMHpRq0TXW7Mnizx//RItKDylOU1Pf
+xLK0w5RW1TGwS5xVhg256fWM6ZOEu5uenZkntT6iU3OiqIJth3IZ3TvRoimhOp2OK6+8kv3793P4
+sLSGgztmueAFQJWW74ujOQDXAX8UNYqJieHHH3/E11dKKgCAfy5Yz8JNFlV6Khycy4d05YGZUkrS
+Z/lp+xH++p8VqtJfcV4ycotZvTuLHglRRIb4W+Wa/VI6EBcRxKYDx5WolAWUVtWxclcWg7vFExYo
+f6/Q6/VcddVVbNu2jZycHNnL+AHjgC/QsDPAkRwAP2AxIBxDmz9/Pn369JFe+OOl2/ns511an19h
+Q5Jiw/jnvdMsejJbsyeLv/znZ/UhrLgoVXWNLNmagclkom/nWKsoS6bEhdM7MYZ1e3NU5MkC6hqb
++Wn7EbrERxAfGSx9HXd3d2bNmsWaNWvIz8+XvUw00AX4Rqv3w5EcgGcwh0WEuPPOO3nsscekF/1m
+3T7e+n6T1mdX2BBfLw/effgqIoLln8i2HcrlTx8tw6Ce/BVtwGQysSszn22HchncNd7ibhOADhFB
+jOiZwIa9OUqN1AJaWg2s2p1FUkwoiRZ0CHh6ejJr1iyWLVtGcXGx7GW6A0XATi3eC0eRAo7FrJcs
+JLOVkJDAvn37CAgIkFp066ETPPT2DxhN6onOlXnxzikW5f33ZRdy/1uLaFAfugoJAn29ePb2SYzq
+lWiV650qr+G+NxaqYUIW4qbX88xtE5k6uItF1zl+/DhDhgyxxAloAAYCdi9Ac5QIwAuYhX+E+PTT
+T6VD/4XlNTzw5iIam1u1PrvChlw+pCt3XzFY2j6nsJx731hIndJqV0jS1GJgxc5MmlsNDEyNs1g8
+yN/Hi8v6d2bLwRNU1DqcuqzTYDKZWJ+eQ2SIP107RkpfJzg4mGHDhjFv3jwMBqn0jAfQH/gUO4sE
+OYIDEA18fvpNaDPjx4/n73//u9SCzS0Gfv/2Ik6WaFqAqbAxkcH+/Ov+K6WV/qrqGrnv9YWUKI12
+hRVIzypgV2Y+w3p0sqgSHcDX25PJg7uw48hJSirVz6csJmDj/mME+HrRK1G+Nbhjx4507NiRRYsW
+yV4iHsgHdtvz/I7gALwAjBQxcHd3Z9GiRURGynltL325lk37j2t9boUN0eng1f+7XHowSEurgYff
+XaJ6sBVWpbC8hhU7j9IvpYPFCoJeHu5c1j+FXZn5FFcqwSBL2HboBEF+3vS0wAno27cvNTU1bN26
+VfYSI4BPsOPQIK0dAKmn/3vvvZfbbrtNasEVOzN5b7H0N0jhJFw7tg/XjZPvDHnxizWs2yvd4qNQ
+XBBzJfphs0ythZPrvDzcmTQwhfSsAk6V12h9NKdm66ETxEUEkRIXLn2NCRMmsGPHDrKysmTMfQAj
+sNpeZ9baARB++g8NDeX777+X6vkvr2ng4XeXqLy/ixMbFsg/7rlCuuXvuw37+feyHVofQ+HCtBqM
+rN6ThV6no19KBywpC/Bwd2NC/87sPHJSRQIsZMO+Y3SJj6BTVIiUvV6vZ9q0aSxcuJCysjKZSwwE
+/gvYxZuzjni1HOHA70SNnnjiCcLC5LzmV75aS6UqmnF5Hp8zFh8voaDSWY7klfDPBRu0PoKiHWAy
+meeO/OU/y2lusay339fbk7cenGFRMZsCDEYjT3z8E7szpXv7CQoK4vPPP8fdXar2yAf4g73Oq2UE
+4AEEB/6EhYUxd+5cvLzEe2pX7Mzkk6XbNTyuwh5MHJDC7VMHSdnWNzbzwFuLKa+u1/oYinZEdkEZ
+e7ILGNe3M54e8h/JXh7ujO+XzOYDJ6ioUQ86shiMRtbsyWZo945EBMnVaXTo0IHm5mY2btwoY94D
+eA9osvVZtYoA6IF7RI0eeeQRqZ7/6vom/vH1eo2OqrAXAb5ePHrtaGn75+au5kRRhdbHULRDdmfm
+87t/fkuphR0nwf4+vPfwTDpGBWt9JKemrrGZh95eTKEFdRV/+9vf6NWrl4xpIHCXPc6plQMwFRBS
+xQgKCuKBBx6QWuyTpduVR9wOuHf6UMIlPfZlaYdZteuo1kdQtGMyT5Zyxz8WWCzwExboy/sPz7Ta
+LIL2SnlNA394d4m06qKnpycvvfSS7PL3YAehPq0cgPtEDR588EGCgsRHbZ4sqWLB+n0aHVNhL5Ji
+Qrl6tJS3TXFlLa+pCJHCASgoreaufyzgcK60qhwAUSEBvP3gDKtIELdnjuaX8rdPV0irxU6bNo0h
+Q4bImKYAlk0uawNa1AAkAm8h4N34+/vz1VdfSVX+vzhvDVn5UtWYCifi2dsnSVXumkzw5L9/Uj8j
+CoehobmVlbuOMqhLPJEWzK8IDfCld2IMP+/MxKAGWElz/FQFBqOJQV3jpew7derE3LlzZUxNwA+2
+PJsWEYB7RNe96aabCA8X783cl13Imj1S/ZgKJ2J4z04M79FJyvaHLQfZcuCE1kdQKH5BTX0T97+5
+kH05hRZdp39qB567bZJVJhK2Zz5dvoPl249I2U6cOJEBAwbImF4NWCYZeQnsHQFwwyz8I+TWfvTR
+R8TExAgv9tdPV1BYpsQxXBk3vZ7X7plGSICPsG15TQOPvv8jTS1KF0LheDS3Gli5K4v+KR2IDpUb
+eAbmUdj+vl5sPagcXUvYfOAEo3olECZRZ2QwGFi6dKmomTewCfOgPJtg7wjAWCBKxGDQoEH069dP
+eKF9OYXsPirfy6lwDq4Y2pUkyZGeb363ieq6Rq2PoFBckPrGZh58axF7sgosus6c8X2la2QUZppa
+Wnn281UYjOIjwefMmYOPj/hDCjDTlmeytwNwrajB734nrBUEwGc/77Lz0RT2xsPdjbskJ/3tyjzJ
+srQMrY+gUFyS+qYWHn7nBw4dL7LoOn+8bgwDu8RpfRyn5kheidS9JTg4mKuvvlpmyStseR57OgAe
+wCwRg8DAQK6//nrhhXIKy9mwT+m4uzozR/YgNixQ2K7VYOTlL9chWdirUNidusZmHnx7MTkF8sWq
+7m56Xvnd5cRFiHdTKf7Hf3/eRXW9uEbPnDlzZJaLB1JtdRZ7OgATMMv/tpkbb7wRf3/xKtjPV+xS
+H+4ujqeHG7dNGShl++36/Rw7Va71ERQKIarqGrnn9e8tEqsK8vPmjfun4+9j09oyl6a+sZlvJVrL
+x40bJ9XJBlxmq7PY0wEQDv/fdNNNwouUV9dLV2sqnIfZo3tJtUjVNjTzyTIlCa1wTsprGnjwrcUW
+Df1JiA7h+Tsmq84AC5i/Jl14foOPjw9jxoyRWW6crc5hLwfAE5ghYhAXF8ewYcOEF/px22FaDeJF
+Ggrnwd1Nzw2XiReGAvznp+1qIJTCqSkoq+bBtxZTIxGGPsOoXoncPlUugqYwO2JbDh4XtpsyZYrM
+ckNtdQ57OQDjACGVllmzZqGT8FCXbDlkpyMptOLyIV2l2qIKy2uYv3av1ttXKCwmu6CMP3/8k0UP
+O/83bSiDu8mJ2yhgpYR0+OTJk2WWigdibXEGezkAk0QNrrnmGuFF9mUXqtyui6PTwU0T+0vZ/nvZ
+dovHrioUjkJaRi7PfLZSut5Jr9fx8l1TibFAY6A9s3HfMWENkdTUVEJDpdqW5dqdLoG9HICJIl8c
+ExPD8OHiMsiL1dO/yzO2T7JU339+aRU/blVtfwrXYvn2I3y8NE3aPtDPmxfvnIK7m1ZjYZyX+qYW
+9hwV02fQ6XRSujZAN1ucwR7f9Rigp4jBrFmz0OvFttZ4Wj9b4drcMEEu9//vZTtUbYjCJfl4aRor
+dmZK2/dOjuHBmSO0PoZTsjdbXKBp4ECp2ouutti/PRyAiQiONZw5U1z8aOuhE9Q3NtvhOAqt6BIf
+Qb/O4qmwvJJKlm47rPX2FQqbYDLBc5+vsmiC4A2X9WNo945aH8XpkFFolHQAuthi//ZyANqMj48P
+I0aIe6Pr0pXwj6tz/fi+UnZzV+yWku9UKJyFxuZWHn1/KWXV9VL2Oh08c+tEqZka7ZkDx04JRxb7
+9Okjs5RTOgA6zAJAbWb48OF4e3sLLWIwGtm4/5iNj6LQkpAAHyYPFBfEKq9pUE//inZBUUUNf/xw
+Kc2tcoWu4UF+/PXmCSh5gLbT2NzKyZIqIZuEhATc3ITn8AUjOEenLdjaAegJRIsYXHaZuOjR7qMF
+aqiLi3PViB54eogPr/xm3V417U/RbtiXXcgb326Uth/dO5HZo3trfQyn4rhg55mHhwfx8VLtl1av
+A7C1AyCs5DN+/HjhRdbusdm0RIUDoNPB9BHdhe0amlpYsE5cslOhcGa+WbePn3fIFwU+PHsknaKE
+ZFvaNcdPiUszJyUlySzV2dp7t7UDMEjki4OCgqQKJDap8L9LMyA1jviIYGG7ZWmHqVKRIUU75MUv
+Vktronh5uPP0LRPQ61UuoC0cl5jNkJycLLOU06UAhoh88dixY4VzIwVl1RSUVdv4GAotmTGih5Td
+AomBHQqFK1Df1MLjHy6joalFyr53coy03HZ7o7CsRtgmISFBZqkIa+/dlg6AL4LiBSNHjhReZHdm
+vg2PoNCaQF8vLusnHvnafTSfrHz50akKhbOTU1jOP75eL21/7/ShKhXQBsqq64RtIiKk7uVO5QAM
+BNyFDCTC/7syT9rwCAqtuax/imTxn3r6Vyh+2HJIWiTIy8OdZ26bqFIBl0Cm9TIsLExmqUhr792W
+DoCQdrFer5eSSNx1VEUAXJkJA8Sf/sur61mXrgpDFQqAl79cy6ly8TA1QK/EaK4dq7oCLkZNfZPw
+jJH24AAIFQB27tyZoKAgoQVOlddQUKry/65KSIAPA7vECdv9tP2Ikv1VKE5TXd/E3z5dgdEoNzXo
+vunDiAz21/oYDk1FrVgUIDw8XGYZp0oBCI1skwn/p0vIMCqch/H9OuMmOBMCzGFPhULxP3YfzeeL
+VbulbH29PXnsutFaH8GhqRcstpSMAEh5DRfDVg6AF5AoYiDjABzOK7HR9hWOgIzy36HjRWQXqOI/
+heLXfPDDNunfjfH9OjO6t9BHeruisVlMbCwgQGoEsydWvmfbygFIAYQqtwYMGCC8SKZyAFyW8CA/
++koM/vlRyf4qFOeludXAs5+vkp6L8cfrx+LtKVTX3W4Qbbf09PSUXUra8HzYygEQHlzQu7d4ockR
+5QC4LOP7dRauPjaaTKzZk6X11hUKh+XQ8SI++3mXlG1MaAC3TZaaZOfyiEYAPDw8hEfen8bLmvt2
+CAcgIiKC4OBgoQVOldcolTcXZtLAFGGbvdmFlFaJ9+QqFO2JT5ZuJ7eoUsr25kn9iQ0L1PoIDofM
+vBHJKIDrOQCpqeK5XktmXyscm8gQf3onxwjbrd59VOutKxQOT3OrgRfmrcYk0RTg5eHOQ1eLC7a5
+OjJKCR4eHjJLOYUDIDS1qHNn8V7vo0rlzWUZ3TsRveBMUpMJ1qihUApFm9idmS8tEHRZ/85S7bmu
+jE5ihrKXl9S93ClqAIQe6WUiAHnFlTbaukJrhnXvJGyz/1ghxRW1Wm9doXAa/rVgA7UNzVK2f7hm
+tLCT7srY8a1w+AhAJBAsYqAcAMUZ3N30Uk8Xq3ap4j+FQoSy6nreW7xVyjY1LpypQ6w+nt5pkYkA
+NDdLOV9WVTizhQMQL2ogkwLIL62ywdYVWtM7OQY/b7Eol8kEa1X1v0IhzLcb9nHohFw91T3Th0rN
+6VCYaWmRmtTYZM092MIBEK7eEnUA6hqbKa9psMHWFVozXCL8f+hEEYWSWucKRXvGaDTx8pdrpGSC
+Y0IDuHZsH62P4BB4e4oX9ElGAOTmO18AWzgAHUS+ODAwEH9/MZ3p/BL19O+qDOsh7gBs2n9M620r
+FE7LoRPFfLthv5TtHVMGEuBr1bS0U+IjKJBkNBoxGMQGCJ1GrmjjAtjCARCSb4uNFVd7y1MOgEsS
+FuhLapz4vItth3K13rpC4dR88MNWquvFo8uBft7ceJn4FFdXw9dLLAIg+fQPTuAACKUAoqOjhReQ
+HW2pcGyGdOsoXE1bU98kncNUKBRmquubmLtCTiFwzmV9CfLz1voImuIjWLfU2CgtYufwDoBQCkDG
+ASirFhu9qHAOhkuE/3dmnpTWNlcoFP/jqzXpFFeKt9L6eXty00Sh4a8uh2gKoLKyUnYph3cAhGL6
+MTHiim/KAXBN+qcK+Y4ApGWo8L9CYQ0am1v5cEmalO11Y3sT7O+j9RE0w1cwAiDpALQA4prDF8Ep
+HYBy5QC4HDGhAUQGixWDAqQdytN66wqFy7Bk6yFyCsuF7Xy9Pbnhsr5ab18T/Lw98XQXa4esqpKq
+Y6uw9t6t7QDogDARA5kiwLJqNfDF1ZAZ/VtQVk1eSaXWW1coXAaj0cQ7CzdL2c4e01u4GM4VCAkQ
+j3xUVEjdy8U9s0tgbQfADxByhcLChPwF87ugNABcjl5J4rUgqvpfobA+G/YdY09WgbBdoK8X04Z1
+13r7dkemAFIyAlBp7b1b2wEQnhMZEBAg9PVGk4kK5QC4HL2TxFNBO4+c1HrbCoVL8r6kRPANl/VF
+r29fMwJkIgCSNQAOHwGwuQNQ19Csqr5dDF8vD1LiwoXt9ucUar11hcIl2X00n3SJKEBcRBBj+yRp
+vX27IlP8WFJSIrOUw9cAiN3NEXcAGpqsqoSocAB6JEThphf7USytqlPyvwqFDfnP8h1SdjdNaF8t
+gaEBvsI2hYVSDy8O7wDYPAJQrxwAl0OmAHBfzimtt61QuDRbDpyQEtnqnRwjldJzVqJDxbuX8vPz
+ZZZyPQdAdA5AQ7NyAFyNnoniBYAHjikHQKGwNf9dvlPK7sYJ7UceWKZ9uaioSGYpq3/oaZoC8PDw
+wNtbrIJSpQBcjy7x4vr/ygFQKGzPuvRsjp0Srz0b1zeZmFDhjLBTEiVxTskUgHhRxiXQNAIgGv4H
+5QC4GqEBPoQH+QnZGIxGMnKV/r9CYWuMJhP/XS4+I0Cv1zFtWDett28XogQjAC0tLbJFgA7vAAjN
+hfTxEa+eVDUArkWKxPS/oydLlSOoUNiJFTszpeTXpw3rJjzcy9nwdHcjRLAIsKioCKNcJ5tU4cDF
+sLYDICQC5OYmJp8I0NRsVSlkhcakSrT/qel/CoX9aGk1sHDjAWG7DuFB9E+J03r7NiUqJEDYycnN
+lRIwMwJShQMXw9oOgND19IKtX2AOSSlch1SJ/H/mSanwmUKhkOTbDftpNYg/tbp6GiAuIkjYJjs7
+W2apIqw8CAic0AFQuBYyEYCjJ0u13rZC0a4orapjXbr4jWtC/87Ck/KcifjIYGGbY8eOySxlE9Uz
+TVMAMg6ASUUAXAZPdzc6RYUI2ZhMSE0rUygUlvHN+n3CNj5eHkzo31nrrdsMmQiApANgk7GnTugA
+2OJtUGhBQkwo7m5iPwOnKmqoqW/SeusKRbtjd2Y+2QVlwnbThrpuGiA+UtwByMnJkVlKKm9wKZwu
+BaBqAFyHxGixp3+Aoyr/r1Boxo9bM4Rt+naOlZqY5wx0lEgBuLIDYPMIgMJ1kPnlycoXfwJRKBTW
+Yfn2IxiNYg9her2O4T06ab11q6PX64gNExO/bWpqoqBAqp1fymu45Bm0vJ5OoklU1QC4DjIFNMoB
+UCi0o6Sqjh1HxNPRI3slar11q9MhLAgPd7FW9uzsbFkNAJtEANytfD2hkzU1iedyRXPGCsdFxgE4
+LiFLqlAo/oeXhzteHm7o9Xr8vD2pbWg6+2BlNJmobWi+qP2ytCMM6dZRaM1hPTrhpte71Cj3pNhQ
+YZtDhw7JLGUATtjiDNZ2AITu6I2NjcILeHlYe8sKrYgXrKA1meBkSZXW21YoHJJAXy+SYsPoEB5E
+h/BAYsICiQjyIyTAh0A/b4L8vPH18mjTtWobmqlvbKauqZmauiYqahsoraqjrLqeppZWTCaEBHAC
+fb3okxzD7qNWF7PTjOTYMGEbSQfgJNAsY3gprH03bRD5YhkHwFM5AC5BoK8Xwf5iUtDlNfVKClqh
+ADw93OiVGMOA1A506xRJSodwoq04fMffxxN/H+v27w/r0andOwAZGeJFlECWrc7gdBEAb+UAuAQy
+4f+84kqtt41eryM6JIC4iCDiIoKICgkg0M+LoNNPWIG/qnZuNRiprmukqq6RytpGyqrrOH6qgmOn
+yskvqXapkKjCtsSGBzK2TzJj+iTSKzEGTw9xKXUt6Z0kPvbbkbFjBEDKa2gL1r6bCt3R5SIAzvVD
+rzg/MgIaeXYO//t5e9K9UyQ9EqPp3imK5NhQYsMChQt/LkRLq4HDeSXszsxn19GTpB8tUBEOxS8I
+9PXiiqHdmDasm9TYbEeiW6co9HqdcBeBI+LupqdTVLCQjcFgIDMzU2Y513QAWltbaWlpwcOjbXkp
+UA6AqxAVIh6uPFlSadM9Bfv7MKhLHEO6daRv51g6RgWjt+E4Mw93N3olRtMrMZpbJw+gucXApgPH
+WL79CJsOHKe5xWDT8yocl6TYMG6e2J9JA1Ncpu7J18uD6NAACkqrtd6KxXSMDJbqAJB56MVVHQAw
+RwFEHACVAnANokLEZmgD5BVbNwKg1+nomRTNmN5JDOkWT2p8hE1v+JfC08ON8f06M75fZ6rrGlmw
+fj/z16ZTUSNUWqNwYpJiw7j7isFc1r+zpj+LtiI+ItglHIAUmSmmcuF/AGnDS6FpESCYHYCAgLY/
+DXp5KgfAFYiUcAAKyyz/4NDrdQxI6cC4fp0Z1zeZiGA/rd+K8xLo582dlw/ipon9WLTpIB/9mEZV
+ndTTg8IJ8PP25N7pw7h2bG/0ete78Z/Bp41dCI5O146RwjZ79+6VWaocG4wBPoOmRYAAtbW1RES0
+PbcVIlg5rnBMooLFHYDiyjrp9VLjwpk2rBtTBnUhNNBX6+O3GS8Pd64b14cpg7vw9sLN/LD5kJLD
+djHG9EniiRvGER7kmM6oNXEVITcZB2DPnj0yS9ns6R+s7wDUixoUFRWRmNh2lagAX2883d1oblX5
+UWdGNAJgNJoorRJzAAJ9vZg2rBtXDu9OSgfxkJ0jEeTnzV9uuoxpQ7vx1L9/pqiiRustKSzE08ON
+h68eyTVj+gj11DszrpDO0umQKsiUdABslv8H6zsAwpNaiorEohs6HYQE+KoPQCfG3U0v/BReVl3f
+5pa5bh0jmT2mF5MHdcHbxVJGfTvHMu+p6/nbf1ew5YBNxMEUdiAs0Jc3HphON4knSWfmZKnzC3nF
+hgUR6OslZFNaWkpubq7MclJ5g7Zi7U9H4VyFqAMAEBakHABnJizQT7jAqaSy9qL/X6eD4T0SuG3K
+QPp1jtX6iDYl2N+HN+6fzpvfbWLeKqmnCoWGdIoK4e0HZxAbLjZIxhXwtFILrZZ07Wi3p38Am/6C
+W9sBqMTcCdDm2Y9SDkCA8+RwFb8lJEC8jqPoAg6Am17PxIEp3Dp5gNOH+UXQ63Q8MnsU/j5efLhk
+m9bbUbSRxOhQPnr0aqnfAVfANRwAu+X/jcA+W57FFvHREiC+rV8sGwFQOC/B/uKzwUt+VQDo6e7G
+9BHduXlifzqEi4sKuQp3XzEYLw833vp+s9ZbUVyCmNAA3n3oKrve/I0mE5U1DVTWNlBR20BtQzMt
+rQbqm1poNfwypebn7UmArxeBvl4E+nqb/+7nhZsa2/4LenSKEraRdACygVoZw7ZiCwegCBs7AJES
+FeQKx0F0BgBAZa25eEiv0zF5UCr3zhgmPIvbVbll0gBOldfwzTqbPiwoLMDX25O3f3+VVPtrWzAY
+jRwrrODQiSKO5JWQW1RJXkklhWU1FstN+3p7EuTrRZC/DzGhAXSIMA8bGtUrUXj+gLN3sOh1Onok
+ijsAu3fvllnO5vk9WzkAbebUqVPCC8joyCscB5lWzsraRoZ068jvZ41weElUkwnqGputPkzlYjx2
+7RgKyqrZtP+41sdXnIe/3XwZCdEhVr3mqfIaNu0/zpaDx9lx5CQNNpKRrm80TwYsLK/hcG7x2X/3
+9/Fi6uAuQtdydgcgKTYUP2+x3+vy8nKOHj0qs1y6rc+juQNw7Ngx4QVEx8gqHAuZCMBtkwfY7OlJ
+hOYWA9mFZRw9WcrJkipOlddQUFZNcUUttQ1NNDS30nJOi6qvlwfeXh6EBfjSKTqEhOgQkmJCGZAa
+R5gV9Qj0eh3P3z6Z65//UhXIOhjXjOnNhAEpVrlWc4uBFTszWbI1gz1H8zW9obq7iacGfp12cDZ6
+JcYI26SlpcnqH6Tb+jy2cACE5j0WFBRQX1+Pr2/bPwxVBMC5CfQTa6EBOeVAa5BbXMnuzHx2H83n
+cF4JJ05VCIVU65taqG9qoby6nqP5pWf/XaeDrvGRjOiVwBVDuxIfEWzxXgN8vXjmtonc/8ZCp3/S
+chWiQgJ4cOZwi6/T2NzKdxv2M3flbmE9DFsR4CP+e1zbYJOx9najl8REw23bpIt0d9r6PLZwAHJE
+vthkMpGTk0PPnj3bbBPk502grxfV9cLCgwoHwNeB5UCr65vYdugEm/YfZ8fhPEps9GFrMkFGbjEZ
+ucX8Z9kOxvVL5tZJA+ieIJ5fPJdBXeK4fnwfvlydrsG7p/g1j88Zg69gyPjXrN+bw2tfr6ew3LEi
+OwGCvfAGo5GGJuUAtJFsJHR1RLGFA5AtapCVlSXkAADERQZz6LjNJJIVNsTb07EcgJLKOlbuOsr6
+vTmkZxVYXDQlitFkYvXuLFbvzmL68O784ZrRFtUP/N+VQ1m+/QjlLqC65swM7d6R0b2TpO0bmlp4
+cd4alm8/ovVRzotoBKC2oRlnDkwF+nqREBUqZGM0Gtm+fbvMcjvscSZbOABZwgZZwibERwQpB8BJ
+cQR1vpr6JlbuOsrPOzI1z6Weyw9bDrEtI5dnb5vEoC5xUtfw8/bk/64cyt+/XKv1cdo1v7tiiLRt
+YXkND7/zA9kFZVL2ep2OQD9vAv288PPypLK2gaq6RuqtWCgoGgGobXDuiG2vpBhhyebDhw9TWVkp
+s5zTOgCnMPcutjlpK+UAqDoAp8VHwwhARm4x323Yz887Mm1WNW0pxRW1/P7txbxwx2Qu699Z6hpX
+jezB/DV7OXaqXOvjtEsGd4und7J4wRhAfmkV9/zr+zaH/H29PRmY2oGBXeJIiYsgOSb0glLbzS0G
+Mk+WsP1wHj9uyyC3qFL6jKIOQI2Tp2z7p3QQtrEg/y8VNhDFFg6ACXMdQO+2GshGABTOib0jAE0t
+razYeZRv1+/joJNEjVpaDTzxyU/87eYJTBvWTdjeTa/n1skDeOazlVofpV1y/bi+UnbVdY3c98ai
+S978dToY1SuRK4d3Z0TPhDYr7Hl6uNEzMZqeidHcOnkA89fs5a3vNwunvXy9PYW7AGqcvACwX4q4
+xLikA9CKHTQAwDYOAJjrAGzrAKgIgNPibaciwIKyauav2cuPWw85ZcGo0WjihS9W0yEiSGq+waRB
+qbyzaIvDVI23F8ICfRnRs5OwndFk4i//+Zn8SwzMGdQljj9cO9pi6Ws3vZ4bJ/SjqaWV9xZvFbIV
+FQACs3PjrPh4edBdQgFw48aNMsvtB+zyS2srB0Dojn7y5Emamprw8mp7SCkxWqwYQ+E42DoCUFBa
+zb9/2sHSbRl26zt20+vp1imSrh0j6BAeRICPF/4+njQ2t1Jd30hVXSMVNQ2cLKniaH5pm8eithqM
+PPnxT8x7ao7wBEVPdzeuGdOb938Q+3BXWMYVQ7tKyecu3nyQLQcvPuHxwZkjuGXSAKuOD54xooeE
+AyDeluvM+hS9kqKFIx5FRUUcOSJVwLnBXueyZQSgzRgMBo4dO0bXrl3bbBPg60VkiD/FFTaVSlbY
+AFvVAGhx448I8uO2KQO5fEhXoZzoyZIqth/OY+O+Y2w9dOKi+y2pquO5uat44/7pwvu7amQPPvxx
+G0ajYxQ5tgfG9k0WtqmoaeDthVsu+jW3Th7ArZMHWH2/Ml0vMaHiMtynHKyNUYT+ncXz/xs2bJAV
+AJIKG8jgEA4AQEZGhpADANA5Nkw5AE6Il5UjAIXlNXz8YxrL0g7bVWlsVK9EXrxz8tk+7/qmFjJO
+FHGssIKK2gYam81Fht6eHkQG+xEZ4k9yTBiRIf7ERQQRFxHErFE9qahpYOGmA3y5Ov3szINfs2n/
+cbZn5DG4W5vHbADmcPTA1Di2H86z2/vSngn086Zngniv+Fdr0i8aIvfx8uDOqYNssucdEj8bMikA
+p3YAUuUcAEm2yBqK4hApAIADBw4wc+ZMIZuk2LBLhswUrktzq4G5K3bz6fIdNDa32nXtxOhQXvm/
+y/F0dyMzr4QPlqSx7dAJms+RAb4Qwf4+DEjtwLDunRjfL5mQAB/umDqI68f14f0ftvH12r3nbUt8
+47uNfPHUHPSC8d9JA1OVA2AnhnbriF4v9v2pb2rh2/UXH+QUGuBrsaDQ+TCaTFJDpGLakQPg6eEm
+5dStX79eZrkjQKG9zmYrByAXcxGDX1sNDhw4ILxIcmyYjbavcHS2HDjBa9+sJ7e4UpP1rxnbG093
+N/ZmF3LvG9/T3GK+8Qf7+5AaH06wnw/+Pp60tBqoqjPXABSW11BcUUtlbcNZ4Z9X5q/jsv6duWPK
+QJJiw3j02tH0T+3Ak58s/8VMAYDMk6WsS89mfD+x1sDx/ZL5+5dr7S5w1B7pI9H6t3p31iWLVE+V
+11Bd10ign/go7Yvxw+ZDUp0xMRKTOB1NybCt9E2OxdOjbV0WZygrK+PgwYMyy9kt/A+2cwCMQAYw
+sK0GMm+WcgCcFAtEd4ora3l1/nrWpQtnmaxKh3DzB+DPO47Q3GKgZ2I0f7p+LN07RV7UrrSqjkMn
+itmXU8jP249QWF7D8u1HWLEzkxsv68f9Vw1nXN9k/jxnLM/PXf0b+4UbDwo7AIF+3nTvFMn+Y+KT
+NxVidO0YKWyzevelJ8UZjEY+W7GLB2eOsNpes/LL+Ne3cvcb0S6sxubWC6a3HJ3BXcXSbgCbNm3C
+KOdw29UBEC9VbTtCj/SZmZk0N4v1iSZGhwiHQxXaY5AsSFubns2c57/U/OYPUHU6X9sh3KxHYTQa
+6daGD//wID9G907kgauGs/jF2/jgkVkM7hqP0Whi7srdPPnJT4C5Mvt842PTMnIpKKsW3u8giQ8x
+hRh6vY7UOLHWvIamFtIy2paembdqD9vb+LWXoriylj+8v4T6RvHe/ABfL0IDxCZ6FpRVO60M8JDu
+HYVtJMP/YMcOALCtAyD0SN/S0iLcMuHj5UFsuHgoSqEtDc1iCnwmE3ywZBt/+nDp2Ruv1mw+cByA
+qUO64uPlwaETxSzcJJbG0ut0DOwSx3sPz+S52yfh7qZnzZ5sdhw5CcDwHgm/sTGaTCzddlh4vwMl
+ZYUVbScmNBAfQY2LjBPFv0n1XIhWg5E/fbSUXZknLdpnblEld732LQWl4o4kQEcJDZY8jVJ1lhLs
+70OX+Ahhu7VrpWS484Hj9jyfwzgAIJcGSIpRaQBno7FJrGCvqKKGT5Zud6gniHXpORRX1BIa4MPd
+pzXf//nNBvZmy9XvDEyNO3vzaDldT+Dudv7o1laJwtc+yTFS89sVbScmTLwwTjQtU9vQzANvLear
+NelS8yuWbz/CzX+fL33zB+gUFSJsc8ICyWEtGdQlTjjKXFxczN69e2WWkw4byOIwKQCQKwTs3EE5
+AM5GveBIUD8bVD9bSlNLK28v3AzATRP6MaJnAk0trTz87g/sySoQulZFTQOPfbiUmvomkmPDzrb6
+bT98/ie9g8eLhHXVvTzcSVI1MzZFpjJeZthPS6uBf36zgTteXUBaRm6bHOMDx07x4FuL+ct/fqZO
+Iux/LjIqrLnFFRatqRVDuomH/1etWiXb/7/J3uezpSTbSaAKaLNov4wDkBSjFAGdjQbBlj0/b090
+OotqB23CT9uPMKJnAlMGd+Glu6Zw3xsLOXi8iPvfWMjvZ43k2nG9L/n0kJVfxh8/WEpeSSUBvl68
+cOdk3N30bDlwgsO5xee1MRiN7Mw8yThBwZluHSPJzLP5iPF2S0SwuDrepWR/L8aBY6e4/81FJMWG
+MbZPEgO7xBETFkCwnw8trQYKy2vYl1PI2j3Z7D6ab7VzdooKFrbRqlvHUmTy/ytWrJBdzq4FgGBb
+B8CEOQ0wvK0GMimAzhbqYSvsT0OjWA2AXq/Dx9PDqqNMrcWzn68iNMCXwd3ief+RWTz+4TK2HjK3
+KP60/TC3TxnIqN6Jv5GGPX6qgvlr01m06SCtBiNhgb78895ppHQIp6KmgZfnXzyHuDszX9gB6Nox
+gsWbtX7HXBeZSFVhmeWtcTkFZeQUlPGfn+wyQVYuAuCEKYCOUcHCUR2TycSqVatklitGIm1uKbYe
+yybkAOTk5FBfX4+vb9s1zxNjQvDycKepxb5CMAp5RIsAwfzh6ogOQEurgcc+XMp7D11Fz8Ro3nxw
+Ol+tTufdxVs4eLyIxz5Yiq+3J72TogkN8KWmvoljp8o5WfK/J7/hPTvxl5suIzLYn7rGZh794MdL
+5mgzLhAduBgyLWqKtiPjAFTXO0ZRqwjxEcFCX1/f2ExZtfMNpBrZM0HY5tChQ+TnS0VbVmF+aLYr
+9nAA2ozRaCQjI4MBA9qud+2m19O5Q5jTjHlVIHUj9/X2BAedalff2Mzv/vUdj107hlmjenLjhH5M
+HJjCl6vTWbz5IDX1TWw7lPsLG71ex/Aenbh+XF+Gng4zFlfU8vC7P5B5svSSa2bmlWA0moRU51Lj
+wnHT65UgkI3w9RbrADAYjXZXsLSUkACzwJUIeSVVDpe+awsjJBwAC8L/msztdigHAGDfvn1CDgCY
+n2yUA+A8yPQe+wl+uNqb5hYDL81bw67Mkzw0aySRIf48fPVIfj9zBIdzi8k8WUp1fSPubnqSYsLo
+Eh9ByOleapMJfthykDe+29Tm4r76phZOFFcITcX08nAnMSaErHzxwjPFpdEJVos3OdnNH+TC/87Y
+Aujr5UG/FHH9/5Urpe/jq2UNLcHWDoBwVd/u3bu5/fbbhWxk+jQV2iFThWwLHXRb8POOTNbuyWbG
+iB7cNLEfHcKD6J4QRfeE384Sb2hqYdXuLOau3E2ORDV4xoli4bHYXTtGKgfARohWfuslRgZrjWj4
+H5yzAHBwt454uovJ/zY1NckOAMoANBnWYWsH4BRQCrS5Um/37t3Ci6jcpnMhlQLwcg4HAMxDihas
+38eC9fvoEh9Bn+QY4iKC8PHyoKGphZLKOg7nFrM3u7BNw4MuxOHcEi4fIjZBs2t8JD9uzdD6LXJJ
+REcuiw4NcgTiI9rc1HWWc+tdnIURPTsJ22zYsIG6Oqk0pSbhf7C9AwCwB5jY1i/eu3cvBoMBN7e2
+e1+dO4Th7qa36yhYhTx1Da6XArgQR/JKOGKj1rvDEoWA3TopZ9lWiBYie7i5odfrhB0HLWkPKQCd
+Ti7//+OPP8ouKV04YCn2iEEJPdLX1dUJSwJ7urspPQAnwpVTAPbkcF6JsBpcSly4mp9hI2oFHVud
+DgJ9rTvdz9bIRADynCwCkBIXQaSEpsPSpUtllmvGzvr/52IPB2CPqIFKA7g2MikAZ40A2JL6xmbh
+pytfLw+pUa6KS1PbIKbOCBDo56X1toWIE4wA1De1OF0L4KheCcI2GRkZZGdLDSnbBGg2J9nhIgAg
+6wCoQkBnQaYLwJlqAOzJ4Vzx9EJijLiWu+LSVAvKMwNST5paEezvQ6CvmMNysrjS6VoAx/QRE9gC
+6ad/gGVantUeDkAWZkngNiPlAMSrCICzoFIA1kNGSz5BsHNA0TZKKsWfdGUm62lFB4nJq87WARAV
+EtCmsd6/xoL8v7TnYA3s4QCYAKHRSOnp6cItNSlx4U5ZVdsekXEAVArg/BwrLBe2SYxWEQBbUFxZ
+K2wjU1SnFTLRCmfL/4/tm4RoiUxFRQWbN0tpbB8DxGd7WxF7NaIKPdJXVVWRlZUltICPlwedItUH
+mzNQLzgLABCes95eyJFwAFQEwDYUV4incp0pAhAe5CdsU1wh7hRpyVjB+RoAP//8M62tUqJOmj79
+g/0cADsVAqo6AGegUWJug7enPTpWnY+TJVW0CGoJqAiAbaiobRCObjlTBCAiWNwBKHFQ+e7zEejn
+Tf+UWGE7C8L/mub/wUEjACDnAHTrFCVso7A/ojcsAA9BVa72gsFoFO4ECPTzJvS0DLHCephMkC2o
+shgXEeQ0qUuZCECJRFpEK0b1+u3UzkvR0tIiWwDYAKzX+sz2cgAOnz5wm5FxAHomKAfAGZCZ3Ojl
+riIAF+LYqQphmwSlm2ETsgSLMj3d3aTkdbVAygFwogjA2L5JwjZr1qyhsrJSZrnVQL3WZ7aXA9CK
+YCHgnj3CWQO6doxUT4pOgNFoElZt9PRQ39cLIVMImBCl0gC2ICv/0pMcf02f5Bitt90mRKNGRpOJ
+8mrN73FtwsfLg2HdxeV/v//+e9klF2t9ZrCfAwCCdQBlZWUcO3ZMaAFPDzdS4to8dkChIc2CUQBP
+DxUBuBByhYDKAbAFMoOWeic5hwPg7yOmAVBT3+Q08uwjeyYI1xkZDAYWL5a6jxsB6cIBa+KwDgBA
+Wlqa8CI9E6LteCSFLE0tYnUAXioCcEGOF4mnAKJDA7TetksiEwHo7SQRAD9BLQ4ZZUStmDgwRdhm
+8+bNFBVJjaHfgnlQnubY0wEQTupLOQCJqg7AGTAYxZ4MRItz2hP5Er3W0SHKAbAF1fVNFAm2AyZG
+hxLo5/gzAfx8RB0Acb0PLdAg/L9I6zOfwZ6fqvsBIZdw27Ztwov0TFQRAGdA1AFwlkppLahrbKZG
+UIZWRQBsx5E8sSiATge9kxz7c8vT3Q1PwfoqGcEvLRjVK1FYZ8RkMrFw4ULZJR0i/w/2dQCaEUwD
+7Nmzh6YmsQ+2+IhggpzAm27viE5AVRPsLk5hudhTZ0iAryqstBF7juYL2/RNFu8/tyeiT//gPA6A
+TPh/586d5Obmyiy3H7M8vkNg77iqUEy/qamJ9PR0oQV0Ouih0gAOj1FFAKzKKUEHQKdTaQBbsSvz
+pLDNsB7iIWh7IjOMyxlSAL7engyXeO9dIfwPDu4AgGQaQBUCOjyic+xVBODinCqvFraJCnGeSXTO
+xOG8EuGUTGpcBJEO/P2Q+fWTEfyyN2P7JOEl0WG0YMEC2SUdJvwP9ncAhO/mcoWAygFwdIyCOQC9
+KgK8KIVl4jr0qg7ANhiNJvZkFQjZ6HTmVjRHRWakrzM47RMGdBa2SUtLIzs7W2a5HGCX1mc+F3t/
+qh4DhPomZCIAvRKjpTxWhf0QjwBovWPHRrQGAJQDYEt2S9QBjOyVoPW22xWBft4Mlaj+nz9/vuyS
+32h95l+jxWPVdpEvPnbsmHCvZYCvFx3VZECXQqc8uotSWCaeAoiQGO+qaBs7j4jXAQzu2tFhCzNN
+iIcAHP1XduKAFOHOBqPRaEn4X9rQVmjhAKg0gEK4r9/gJIpiWlEmIbka7K8GAtmKzJMlVAvWAXh7
+ujOwS5zWWz8/EikAR3faLx/SVdhmw4YN5OeLR3cwh//FB9zYGC0cAPsUAqpOAIfGXdABcBZJUa2o
+rBWatQVAkJ+YtKui7RiNJrYdOiFsN7aP+Dx6e2CSKAJwZAegQ3iQlASzBeF/h3v6B+1SAELloTIR
+gF4qAuDQuLsJOgCCbYPtjcbmVhqbxeYrBPmpCIAtWbtHvFDssv6dhX837IHMBE8/bzFxHXsydUgX
+4RRFS0sL3333neySygE4TQ3m8cBtZseOHRgMYi0lqXER+ApqVyvsh5uoA6AiAJekQjAKEOyvBLNs
+yeYDx2kWnHkR5OctJUtra2okevpFhwfZk6mDuwjbrFy5ktJS8VkPmIvfHS78D9o4ACBYB1BTU8PB
+gwfFDqbX0cdJpmy1R9wEy/pFpYPbI6JpAGfQn3dm6pta2HEkT9hu8qBUrbf+G1paDcIRpgBfx3QA
+uidE0UliHPbXX38tu+R8pKoobI9WDoBwTF8mDdAvxbHlNdsz4kWADvn741CIOgCe7m74CmqgK8RY
+l54jbDOmT5KwNr09EJ3uF+CgEYDLB4sX/9XW1lqi/veF1me+EE4RAQDYsmWL8CKOrq/dnhGuARBM
+AbVHKmsbhW3U3Azbsn5fjrDmhY+XB2P6JGm99d9QI+oAOGAEwMPdjSmDxSMs33//PbW1tTJL7gIO
+aX3uC6GVA3AQEGpc3rhxo/AiPROjhfs8FbZHpwMvTzH5zWYnkBXVmioJB0ClAWxLeXU9+7ILhe0c
+MQ0gKm/s6+XpcGO8R/VKlGp//fzzz2WXnKv1mS+GVt8dI4KSiNnZ2RQUiMlrenq40a1TpEZHVFwI
+Lw93YZnQxibxKuT2hkyltqgjphBnzR7x4W/De3QiPMhP663/AlEHQKfD4c4wY0R3YZu8vDzWrl0r
+s1wrIF04YA+0dM+2ihrIRAH6dlZpAEdDpjujrsnxJ4tpjYwD4OGmImS2Zvn2I8JFrG56PVcO66b1
+1n+BjNiUIw2cigjyk+qwmDt3rvD00tOsAE5pfe6LoaUDsEnUQMYB6Ne5g4ZHVJwPH0/xAqeGphat
+t+3wyKRJVIrM9pTXNLDtkPjs+JkjezrUQJ3SqjphG0eacDhtWDepseJz50pH8aXzBvZCSwdgM4KC
+QLIRADVL3rGQqTyvb1QOwKVoEmzTAnNRlML2LN0mJH0CQGx4IAO7Oo40sJQD4EDzJq4YKh5RSUtL
+4/Bh8e8d5hq3JVqf+VJo6QBUA/tFDPbv3095ebnQIv4+nnSODdPwmIpf4yOhENbQrByASyGTAnDU
+4TOuxrq92cI5dDBHARwFOQfAMWoA+nWOJSFavPf/s88+k13yW0A8Z2JntC7R3CDyxSaTic2bNwsv
+0lelARwKlQKwDU2CqnOgUgD2ornFwOrd4sWAY/skERrgGJLNpVXi9zNHSQFcOVy8+K+xsdES8R+H
+7f0/F60dAOGYvlQdgBIEcihkRE6UA3BpWiRqAFQKwH4s3ZYhbOPh7sa0YeI3L1vgrCkAP29PJgxI
+EbZbuHChcMT5NLnAeq3P3RaczgHYsEEoaACYwz8KxyFQQiCkXjkAl0SmXkxUklkhT3p2AfmlVcJ2
+s8f0cog6ppKqOmFRI0eIAEwd0kWq7uiTTz6RXXIe5lZ3h0drB6AIyBQx2L17N3V1Yp5oeJAfcRFB
+Gh9VcYbQQF9hG5lxt+0NGdEVNWTJfphMsGiT2EwTgNiwQMY6gDJgS6uBMsE0QGSwv+adDDJ1FDk5
+ObK9/wBfanpgAbR2AEAwCtDS0sK2bcJKwioK4EAES6jPycjctjdkxsgqB8C+LNx0UKpd8/rxfbXe
+OgCnKmqEvt7dTU+IhjUMvZNj6BIfIWz38ccfYxKMdpxmF3BAswML4nQOAMjWAahCQEdBRopTdNRt
+e0QmTKwcAPtSWdvAGoliwP4pHejuAKqmp8rFHADQtg7g6lHiT/+tra0uK/37a5zSAZCpAxiQqhwA
+R0HGAahSDsAlkQm1KgfA/ny7Qaj7+SzXju2j9dYpLJNwADSqAwj085Yq/lu6dKmw7PxpWjCP/nUa
+HMEByAGEhmanpaXR3CwmDdshPIjY8ECtz6oA4ZCgyaRSAG1BLgWghizZm/SsAo7klQjbTR6USphE
+/Yw1Ka4UdwCiQgI02eu0od3w8hCfdfHxxx/LLvkD5ro2p8ERHAAwqwK2mfr6enbtEpolBMDgrvFa
+n1OB+AjamoYmYS319oi3xGCfVvW+asLCTeJpYg93N2aP6a3pvmUiANGh9o8A6HQwSyL8f/LkSZYv
+Xy677Ed2P6iFOIoDYJc6AOUAOAaiEYDKGhX+bwv+PuLtlc0S4kEKy1mWdoS6RvEBV9eO7S3V0mYt
+iipqhW20iAAMSI2TUv77z3/+g0EuKpYDrLL7QS3EaR0AmRaNgV3ipXqlFdbDy8MdP8FpgKoAsG0E
+SOgryMjTKiynvrGZH7eKCwMF+Xlz1cgemu1bpghQi4mAMvUSra2tfPSR9EP8RzhJ7/+5OIoDcAAo
+EzHYuHGjcB1AaIAPnWPDtT5ruyZKIhxYUePwktoOQaCveHtldZ2qrdCKL1enYzSKt5rdOKGfVL2H
+Naiqa6BRcOhUdKh9IwAxoQFSugmLFy8mPz9fZslm4FO7HtJKOIoDYAK2iBjU1dVJ6QEMUmkATZH5
+MCiuFA87tkdkIgBVygHQjPzSKtamZwvbRYUEMHVwF032bDJBsWAaICLYT0qkSpZrxvaWaol95513
+ZJdcCBTb7YBWxFEcABAcDASwevVq4UVUHYC2xISKd2LIhB3bI6IOQEurQUksa8xnK8SLmQFunTxQ
+M4U90d9HN72esCD7dC94ebgzY4R4iiQjI4P166Xl+z+0y+FsgCM5AGtEDVatEq+56J8Sq1n4TCGX
+DzxVriIAbUF0apzK/2vPoeNF7M0uFLZLiA5htEbywKJqgGC/NMDUIV2Eu4wA3nvvPVnlvyxgnV0O
+ZwMc6U6YDpSKGGzfvp3q6mqhRXy9PemREKX1WdstMh8EKgJwafQ6HRGCimtV9Sr87wh8sXK3lN0d
+Uwdpsl+ZToDwQD+77O26ceLFfzU1NZYo/72POYXtlDiSA2BE0JNqbW2VUgVUaQDtUA6AbQgN9MVT
+cLSv6GAXhW1YvzeHvJJKYbvunSIZ2SvB7vstkajJsYeAUf/UDqR0EC/y/uKLL4QfJE/ThJNJ//4a
+R3IAAIST+jJpAFUIqB0xYWIOQEurQWoOeXtDJrVSqBwrh8BoMvHFyj1StndfMcTu+y2X0OWwx0Cg
+68f1lbJ77733ZJf8FhCXdHQgnN4BkCkE7JUYjY+GYhrtFZ1OXBSkuLJWeAZ5e0RFVpybJVsPUVIp
+7uj2SIhieI9Odt1rmYRDbusIQGy43MjktWvXcuCA9PC+D2x6KDvgaA7AUeC4iMHBgwc5deqU0CIe
+7m5qPLAGhAaIh6nVTaptyDgAhWVSYU+FDWhuMTBXshbgrisG23WvZRK6HKE2dgBuGN9PqvXvjTfe
+kF0yA0EJe0fE0RwAACGJP5PJxJo1wg0EDOyi0gD2JkrqJqUcgLaQGB0qbKMcAMfi+437pcLrvZNi
+GNKto932KVM7EhpgOwcg0NeL6SO6C9tlZWXx448/yi7r1MV/Z3BEB8AudQBDuikHwN5ES+SpZSqO
+2yNJseIOgGqvdCwam1v5arVcLcC904faTea8qaWVesE5BqGBtqsBmD1Gbj7CW2+9hVFuGFYD8IXN
+DmRHHNUBEPKsZOoAUuLCpebSK+SRGQpSJNFz3N7Q6SA5NkzIptVglOrnVtiWb9bto1pCn6FnYjSj
+e9tPF6C0WiwKEGajCICnu5tU619VVRX//e9/ZZf9CqiwyYHsjCM6AKeAQyIGubm5HD16VOzgOh2D
+usRpfdZ2RUSweC+wukldmqiQAOEBSyeKKmhpVZMAHY26xma+XrtXyva+GcPspg4oOqHT19sTD8H6
+n7YwdUhXqQLDTz75hJoa6c+WN61+EI1wRAcAJMYqykQBhvdM0Pqc7QqZcbWlEpXR7Q2Z8H9WvtDs
+LYUd+WpNOrUN4qOCk2PDmGKnGQEyEtLenu5W3YNOZx6MJIrBYLBE9/9nYJ9VD6IhjuoA2KUdcETP
+BM30tNsj/j5iT6mAVDi0vdEzIVrYJitfSHRTYUeq6xqZt0quI+Ce6UNt8qT9axqbxR0A0Q6gSzGy
+ZyJJMeLO7/fff8/x48dll33DqofQGEd1ANYBQj9ha9asES7oCA3woWunSK3P2m7w9xaPANQJFhu1
+R3omijsA2QUqAuDIzFudTmWteEdAbFggV0kMwxGlQXAkMGB17ZWbJ/aXsnvrrbdklzyIOQLgMjiq
+A1AD7BQxKC8vZ9cu8claI1UawG74eot9AJhMUCcRCm1P6HRyDoBKATg29Y3N/PdnuUmBd14+yOrh
+9l/TIJEC8PKw3p56J8XQP7WDsN3WrVvZtGmT7LKv4wKtf+fiqA4ASKQBfvrpJ+FF7K2i1Z5xE5zC
+2GowKBXAS5AYHUqg4Bjg8poGCpQGgMPzzbq9FMsM3gnyk6qMF6FRIgLgZUWnRHYQ0iuvvCK7ZDEw
+z2oHcBBcygFYunSp8CLdE6KEx6gqFI5Cr6QYYZsDx8THzyrsT3OLgX//tEPK9tbJAwkQdAxFkIkA
+WCsqkRoXzgiJyG1GRgZLliyRXfY9wOXGZzqyA7AFECoB37lzJ0VFRWJvgE7H0O4qCmAPRMst1bP/
+pZERtErPUg6As7B480FOllQJ2wX6eknnyNuCTBGgt5VSALdNGSglevSPf/xDVvinCRfQ/T8fjuwA
+NAMbRQyMRiM//yxeo6HFSE3FpXGT0PZuT+h1OqnR1vtzlAPgLLQajHz0Y5qU7ZzxfW2mwd9qEL+R
+WiMC0DEqmAn9U4Tt8vPzmTdPOoI/FxB7snQSHNkBABBO6i9btkx4kaHdO+Gmd/S3wvkRrRx20+ut
+WjjkanTtGCGsZtlqMHLoRLHWW1cIsHzHEXIKy4XtfLw8uOty2wwK0mnUPn3b5IFSQ39ef/11mpul
+CopNmIv/XBJHv+sJJ/VXrFhBa6vYjSbQ14veSeKV1Aox6hrEe/r9BDsH2hMyqauDx4toahEv4FJo
+h9Fo4oMftknZzhrVk45RwVbfk0x0TiZqcC7RoQFMlRA6qqys5KOPPpJd9mcElWmdCUd3ALKBTBGD
+iooKtm7dKryQTFGJQgwZ9TAZ9cD2gkzqauuhE1pvWyHB2vQsMnLFIzfubnoenDnC6vuReQpvlcu/
+n+Xmif2lRI7ee+89S2R//2XRph0cR3cAQCIKIJMGGKHqAGyOjLxpeJD4/ID2QGSwv1T//9aDygFw
+RkwmeH+x+IMNwLi+yfTtHGvV/cik5gwG+bLesEBfrhopLnDU0NBgifDPASRk6Z0JZ3AA7FIH0Dk2
+nEiJcbWKtlNWLa7rLzNAqD0wtm+ysIx1VV0jGSr/77RsOXiCPVkFUrYPXz3SquOCfb3EZb2bLUg9
+3Tp5oJTT8cknnwh3hp3Dv3DxZiRncAA2YFYGbDP79u0jLy9PaBGdTqkC2hoZUZPIYOWUnY/x/ZKF
+bdIycpWwkpPzzsLNUnY9E6OlqucvhKiqJ8hFAAEigvy4enRPYbumpiZeffVV2SMWAV/Kv0POgTM4
+AE1IiAJJpQGUA2BTiiUm+8VHBmu9bYcjIsiP/iniMqgb9h3TeusKC9mbXciaPdlStg/MHG61QUEy
+g71qJYqAwdz3L/P0/9///peTJ0/KHvFfmO89Lo0zOABgpzTAoK7xVp9YpfgfMhGARIlpX67OtGHd
+hIuwmlsNbFQOgEvwzsLNUhX1HcKDuGZMb6vsISRAXF+gRsIBiAz2Z+ZI8af/lpYWXn75ZdnjlQPv
+W/YOOQfO4gD8iGAuZvXq1TQ1if3A+Xp50E/iyUrRNvJLqzAIVgLLjPt0ZXQ6mD6iu7Dd9ow8NVnR
+RcgtruS7DfulbO+6fJDw7IjzESFYnGs0mqhvFO8Cum3KQDw9xB/KvvjiC0tH/kq3DTgTzuIAFAD7
+RAzq6upYv3698EKjeiVqfVaXpbnVQF6xmKxpkJ+3qgM4h34pHYiPCBa2W7MnS+utK6zIJ8u2S+XU
+A/28uV1ykM65iBbnllbXCdefRIUESFX+GwwG/v73v8serQp42+I3yElwFgcAQDimL5MGGNM3yarV
+sopfIqNo1kuJNJ1FZtZ7S6uB9XtztN66wopU1DTw35+FJqaf5bpxfYgNC5ReO8jPWzgnXySR/rt9
+ykCplOz8+fM5evSo7PHeBiql3xwnw5kcALvUAcSEBtAlPlLrs7osOQXic+h7S0y8c0X8fTwZ36+z
+sN3mA8epqnO5QWbtnq9Wp1NUIR6p9nR34/6rhkuv21GiMFe0/ic6NIAZEqkuo9HIiy++KHu0OkBa
+NMAZcSYHYAsgdPc4evSolCc4tk+S1md1WWR06PskKwcAYMrgLlIDVX7cdljrrStsQFNLK+8tlpMI
+njQwle4JUVK2CdEhwjaiEYDfTRsi1bGwYMECMjIypM6FufCvRNbYGXEmB8AArBQ1+uGHH4QXGifR
+Y61oG/uPFSLait69UxSBft5ab11zpg8XD/9X1jaw+cBxrbeusBE/pR3mSJ74PUung4dnjZRaMyFa
+vDD3ZEllm782MTqUaUO7Ca9hMBh45plnpM4ENOLCQ38uhDM5ACBRB7Bo0SLhRZJjw6QKrRSXpqKm
+gTyBDwMw644P7dZR661rSq/EaLp3Ek9N/bwjk5ZWg9bbV9gIo8nEm99tkrLtn9qB0b3Fo51JseIO
+gEjtz70zhknNGpg3bx6HD0tHuz7GXGzernA2B2A5INRHtmXLFikpyLF9VRrAVsjMox/eQ3zynSsx
+57K+UnYLNx3QeusKG7P9cB5bDsjNeHhw1nDhUeg9EsSLctvqAPRMjGZcX/EIbEtLC88++6zUewC0
+AP+UNXZmnM0BKAF2iBgYjUapNMBYiR9CRdtIyxCTaQYY3SfJaipmzkZUSACX9Rcv/tuXXUhWvnjR
+pcL5ePP7TRiN4jLPidGhQq12HcKDCA3wEVqjqq6R8ur6Nn3t/VcNl+rC+vTTT8nJke50+RRol1Oy
+nM0BAInpgDJpgF5J0YQFiqtdKS7N1kMnhHuCA329GNa9faYBrhvXW/gpDeBbSbEYhfORXVDGD1vk
+xtbfefmgNrfbybTkZuWXtunrhnbvyKAuccLXb2pq4oUXXpA6O9AKvCJr7Ow4owOwSNRg9erVwvOg
+9TodY1Q3gE2oqGmQmko3eVAXrbdud3y8PLhKQgq1qq6R1buV+E974sMl22hoElfbiwz2Z0Yb9SX6
+JouPFd6Xc+qSX6PTId2a+NFHHwkPfzuHeUC7FclwRgdgPyDU29fU1CSlCaDSALZDpjJ9XN9kgtpZ
+N8C0od2kpFsXbjxAkwXjVxXOR0lVHV+s2iNle+vkAW1KscnU4rSl5mdC/xS6dRQvcq2vr7dE9c8A
+SBu7As7oAAAIJ/Vl0gCDu8a3uxuOvVi5U1yfwdPDjenDxcVBnBW9Tsf14/sI27UajHyzXkg5W+Ei
+zF2xi7I25tvPJTo0gCuHXbz1LiE6hNhwMQVBk+nSEQB3Nz33zhgmdd733nuPwkLxouLTLACOyBq7
+As7qACwSNVi2bJnwcCB3N72KAtiIY6fKOdrG3OC5zBrdE3070Woe0TOBTlHioiurd2dJTV5UOD/1
+TS18uEROHOi2KQMvWmsi8/SfW1xBZW3DRb/mmjG9pdQFKysrLZn4ZwCelzV2FZzVAdgCXDqxdA7V
+1dWsWbNGeKGJA1K0PqvLsmJHprBNfERwu2nRvGFCPym7L1fLhYEVrsHizYc4fqpC2C42LPCi3SYy
+D0NpGbkX/f+Bvl7cdcVgqXO++uqrlJVJd7l8BshVTboQzuoAGIElokYyaYBBXeMI9hdre1G0jeXb
+jwh3AwBWmWbm6KTGhUtVRO/LLuTgcXHdC4XrYDAa+XhpmpTtTRdwOmNCA+jXWXxU+qZL1PrcdcVg
+qTRrfn4+b775puxb1AhIiwa4Es7qAAAsFjZYvBiDQUwVzU2vZ7ySBrYJheU1UgIm3TpGurww0A2X
+yT39f7UmXeutKxyAlTuPSk3e7J4QRd/Ov630nzqkq3B/fmNzK7uO5F/w/8dHBHPNmN5S53v22Wep
+rxevdTjN+0CurLEr4cwOwCpAqLevqKiI9evXCy80ob9KA9iKbzfIFavdN2OYy9YChAf5MXlQqrDd
+qfIa1uzJ1nr7CgfAaDLxydLtUra3TR74m3+bOkS8BXfnkZMX7UR5cNYIKXGvw4cP8+mnn8q+NTW0
+88r/c3FmB6AJiRHB33zzjfBCA7p0EFa/UrSNLQdOUFBaLWzXtWMkUwa7pi7ArFE9pT4Yv167F4NR
+SClb4cKs2iUXBRjZK4Geif8T/Omf2oFEiQFA6/deuL2+X+dY6cjqk08+SWurdIvrv2hnE/8uhjM7
+ACDRDfD9998L//C46fWMk5jDrrg0RpOJ+WvTpWzvnTEMLw/x8biOjF6v46o2irKcS31jM4s2H9R6
++woHwmgyMU9SF+CeK4ee/fsN4/sK2ze3GFi9+/ytvjodPHLNaKl9bd26lYULF8q+JSW0U83/C+Hs
+DsASoEHEoKSkhLVr1wovNEF1A9iM7zceoKJG6NsImAuT7pasIHZURvZMJDLEX9hu8ZZD1NSLtbkq
+XJ+fth+mXOJ3a2j3jgxIjSMuIkhqYuDG/ceovsDP49TBXaUmWwI8/vjjlrwdLyGYNnZ1nN0BqMU8
+IVAIqTRASgcig8U/mBWXprG5Vbp47aaJ/encIUzrI1iNq0eLy/4ajSbmr9mr9dYVDkhzi4FvJUWh
+Hr1mFDdc1ldqNO/SbRnn/XdfLw8emCkn+bt48WI2btwo+1bkYi7+U5yDszsAYFZzEmLhwoW0tIhp
+Zuv1OiZJFGYp2sY36/ZKPcG6u+n5y02XSQ3LcTRiQgMYJtHdsG5vDvmlVVpvX+GgfLt+n5QsdGp8
+BNeMEVeiLK9pYMvB83f33DF1kNSDVHNzM3/84x8teRuewVw3pjgH5//UNMsCC/WDlJWVsWrVKuGF
+LnfRojNHoLahmU+XC016PkvPxGjuvNz5tQFmjOgh1dnwzTr19K+4MOU1DXy7Xm4ypEyjzaJNB2g1
+/LYYtWNksLS41bvvvsvRo+Ly4ac5AsyVNXZlXMEBqEMiDbBggXDggNT4CJJjXSfc7Gh8tWYvJ0vk
+nmTvumIw/VPFhUocBZ0OLh/SVdjuRFEFuzJPar19hYPz6fKd1EtMChSlpdXAN+vOn3L4wzWj2zx2
++FzKy8stGfcL8CTmsb+KX+EKDgBIpAEWLVpEc3Oz8EJTVRTAZrS0GvhAUsdcr9Px7G2TCHHSds0B
+qXHCg1YAvttwAAkxRUU7o7K2gQXrbD8gasXOTEqr6n7z76N6JTKyV4LUNZ9++mnKy8XbGU+zA5Bu
+G3B1XMUBWII5EtBmKioqWLFihfBCUwZ3cVkBGkfg5x1H2JstN90rJjSAl++e6pT1AFcM7SZs09xi
+uGCxlULxaz5fseuClfnWYt7q9N/8m6e7G3+4dpTU9TIyMvjggw8s2dITgHKRL4DzfVKenzrgZ1Gj
+L7/8Unih6NAA+qbECtsp2obJBC/OW0NLq5hk8xkGpMbxh2vkPmy0wtvTncskRFFW7T5KVV2j1ttX
+OAlVdY3S6oBtIS0jl8y832rs3DSxP/ERwVLXfPTRRy0R/VkFrLbZgV0AV3EAQDINUFUlnnNWaQDb
+klNQxtyVu6XtrxvXh1sm9df6GG1mTJ8kfL09he0Wb273w8wUgnyzbi8nisQnBV4Kkwne/+G36bvI
+EH9umzJQ4oqwevVqfvpJWOz1DEbgz1Y/qIvhSg6AcBqgoaFBSlVqQv8UPD3Ei1kUbeeTZdst+qB6
+cOZIqaI6LbhiiHj4v6Csmj1H84XtFO2bVoOR17+V7qW/IGvTszhw7LcT2h+ZPQpfLw/xfba28tBD
+D1mypU+AXVY/qIvhSg5AHRLSwHPnineHBPh6MbaPmhBoS5pbDPzl3z9LpwJ0OvjbLROYNNCxtRtC
+A30Z0j1e2G7ZtsNSo5QVik37j1t1aJTBaOS9xVt/8+/De3RioqSC6ttvv83Bg9LS1lXAX612QBfG
+lRwAAOGk/rp16zh5UryNavrw7lqf1eXJyC2W7goAs0jQC3dMdujv1ZRBqcJFiyYTLEs7rPXWFU7M
+P+avo7ZBvAvqfPy4NYPjp34ZrfP2dOfxOWOlrnfq1CmeffZZS7b0HFBslcO5OK7mAKxEcNKT0Whk
+3rx5wgsN7hZPdGiA1ud1eeau2M2OI/J97nq9jr/ePIE5EgNN7MFUiTTF/mOF5BZXar11hRNTUlXH
+2ws3W3ydmvqm8z7933X5YDqEB0ld8/HHH5eqzTpNJvCO9d4p18bVHIAWQFjo/4svvhBeSK/TceUw
+8dytQgyjycRT/15OUYX8DA+dDh69djR3OdjgoKSYULp1FB+Kop7+Fdbg+437LyjZ21bmrtxNWfUv
+hViTY8O4aaJcEe7mzZul0rLn8ChgndBGO8DVHAAA4cf5AwcOkJ6eLrzQlcO6K00AO1BeXc8fP1hK
+c4tcPcAZ7rlyKH+/e6pUUZItkClSbG41sGKntCSqQnEWkwme/Wyl1CTOM8wY0Z1AX6+z/63TwZM3
+jsfdTfzWYjAYePDBBzHJ17b8DPxo23fNtXBFB2AbkCNqJON1xoYHMrBLnNbnbRccOlHMi/PWWHyd
+iQNS+OyJ60iIDtH0PHqdjikS7aSb9h+nWvX+K6xEWXU9z32+SlpNskN4EM/fMfnsg9BVI3rSJzlG
+6loffvghe/bskT1KK/CYzd8wF8NVe9kigdEiBsePH+fhhx9GL1iQ5abXW7WiVnFhjp4sRa/TWaz5
+H+Lvw7Sh3cgrqSKnUFpi1CL6pXRgzmV9he3eWbTFJn3civZLbnEloYE+9EiIkrLvGBkMOh3HCsv4
+573T8PJ0F75GaWkps2bNoqFBOhrxNvC5vd4zV8FVHYBC4H4Rg9raWgYNGkRqqljbWHxkMAvW77M4
+PK1oGzszTxIR7CeVOz8XD3c3JgxIISE6hD1ZBTTYYVDKudw4oT89E6OFbCprG3j5q3UYjar9T2Fd
+dh45ybh+ydKzNPqlxDKwSzxxEXKFfw899BCbN0sXJZYBswH5XIbluANRmB8+Q06/fE7vyWjBdW2K
+K6YAADKQEIH45JNPhBfy8nBXyoB25u9frmX9XuEsz3mZNDCVb5+5mRkjekiNPpVBp4Nx/ZKE7Vbs
+zJTWRVAoLkZTSytPfbKcxmY52V29Tkf3TnJO+aZNm/j3v/9tyfafBuwRygsGRgL/hznisAo4iLnz
+rAUoALLPeeVjLkg8BezBPJL4EWAU4BCFSK5cwXY/gu0g7u7u5ObmEhMjlsPKLijjuufEWwkV8nh6
+uPHa/01jeM9OVrvm7sx8Xluw4bx65takR0IUn/35OmG7O/6xgH2Sg5IUirZw+ZCuPHf7JLut19zc
+TP/+/S0R/TkA9MO64371QGegD9AX6H367+KKXRemAnPB4jfAMjSKErhqCgDMHthDmEMzbcJoNBIe
+Hs7IkSOFFgoN8GXnkZMUlsu3qinEMBhNrN6dRZf4SDpGBVvlmjFhgcwa1YvU+HBOnKr4TXuTtbh+
+XF/6dhYbKFVUUcMbNpBwVSjO5Wh+KUF+3sLpKVleeeUV5s+fb8klbgSyJG3dgE7AAGAKcDfwF+B1
+4A/ANZif1lMBudzGhfHB7FTccPoMRmAfYNcQnytHAADmA0KPWikpKRw5cgSdYDx4xc5Mnvxkudbn
+bXd4urvxwp2TGd+vs1WvazLB2vRsPlm23eoRgQXP3ERidKiQzbxVe2yi4a5Q/Bp3Nz0f/uFq6Wr+
+tpKdnU2vXr0sKfxbDFx1kf8fhDkvH3H6lQgkA0mn/0wAxKdw2Y6jmFMES+21oKs7AFMA4XFSa9eu
+ZezYsUI2La0GrnjyU8pt9NSouDB6vY4/XTeW2WN62eT6B48X8cOWQ6zYmUmNhfPUI0P8Wfb3O4Tt
+bnvlm/MOW1EobEFEkB9fPDWHsEBfm60xadIkVq5cacklNmMuADyzyWDMufUIIBzHurmL8CnwAGDz
+m4krpwAAjgF3AIEiRgaDgVmzZgkt5KbXU1PfxJ6sAq3P3O4wmWDTgeO0GowM6mrNNJ2ZyGB/RvVK
+ZM74viTFhNJqMFFSVSdVkDe+XzJj+4oNkiqprOON79TTv8J+1De1cPDYKS4f0hW93vrPifPmzeO1
+116z9DIdgS6Yn+iTgFggGgjAue9t/TBHNpYA1bZcyJnfpLZgwuwJjhIxOnr0KPfddx8+PmItMXGR
+wXy9dq+0qIbCMsb0SaK3DcOW7m56OncIZ/KgVG6Z1J9h3TsRHRpAq8FIRW0Dhja0590yaQCdO4QL
+rbtiZyYb9h2z+funUJzLqfIaahqaGNEzwarXLS8vZ8aMGdTVCU1vb29EAldjLhAss9Uiru4AAORh
+Dqe02Y1tbW0lLi6OwYPFtOMDfLzIOFGihFo04LYpA+2q9a/X6YgODWBAahwzRvTg9qmDmDasG0O7
+daJLxwiiQwOICgkgItiPID9vvD3dcXfT89DVI/ERlCL+ZOl29TOl0ISDx4uIiwgiJU7Mab0Yv//9
+79m4UUW02kAQMB34Gqi1xQKuXgNwho2Y+zfbTK9evdi3b5/wQlsPneDBtxZrfd52xVUje/DUjZfZ
+rY/fnjS3GLjssY/sLlSkUJzB18uDL56aY1b8s5DVq1czceJES/T+2yM7Md+/LCtAOg+uKgT0az4W
+Ndi/fz/r168XXmhot04kxYhVeCvkGd8vmSdvGO+SN3+AA8dPqZu/QlPqm1p46pPlFotQ1dbWcvfd
+d6ubvzgDgZdtceH24gAsQEIp6p13xMdK63Rww2X9tD5vu2BQlzheuHOKTYqUHIU9R81FpYG+XvRO
+jmF4z05c1r8zA7vEkdIh/BeT2BQKW5AaH8GIXgnUNFg2ZffJJ5/k2DFVyyLJ7zHrFVgV1/3k/C2v
+Aw+LGLi7u3Ps2DHi4sQm/jW3GJj25H8ot2DMpuLidO8UyQePzMLX21k7fdrGvuxCIkL8iQkNOO//
+N5ngRFEF+3IK2bjvGFsOnqCpxZqiaIr2SFRIAFeN7MG0Yd0u+LMnQn5+PomJibS0uG40y93dncDA
+QEwmE3V1dTQ3W+YwnYd1wDhrXrA9OQBdgUOiZ/7LX/7C888/L7zYRz+m8dGPaVqf2SXpFBXCJ4/N
+lh5c4srUN7WwatdRvt2wn0PHi7TejsLJGNw1nuvH92VkzwSrR9Y2bdrEvffey4EDB7Q+pjT+/v70
+6tWLHj16nH0lJSURHh5OUNAvxQINBgOHDx9m9+7dbNmyhfnz51NZWWnpFq7CLIBkFdqTAwCwFhgr
+YhAVFcWJEyfw8hILtZbXNDDtyf+oKYFWJjLEn//88RqiLXgqMZlM/PGPfyQhIYG7775b+HvrLGw/
+nMcnS7ez+2i+1ltRODhdO0byyOyRDEgVi3aKYjQa+eabb3jttdfYtUt4XptdiY2NpW/fvr94JScn
+C4+MP0N9fT3z5s3jiSeeoKxMurNvAzDGWmdsbw7A9cBXokZffPEFN954o/Biz89dzeLN0kMuFL8i
+yM+bT/44W1hG99c88sgjvPHGGwDEx8fz1FNPcfvtt+Pp6ZrphA37jvH3eWsoqVJ914pf4unhxr3T
+h3HDZX1xk7yxyXLgwAG++uorli9fTnp6Okaj/efh6PV6OnbsSGpqKqmpqXTp0oUuXbrQt29fIiIi
+bLJmfn4+N910E+vWrZO9RHfME28tpr05AJ6YdQGE5lYOHTqUrVu3Ci+WXVDG9c/PU8JAVsDXy4P3
+H5lFj4Qoi67zwgsv8Ne//vU3/56QkMAjjzzCrbfe+ptQnitQXd/EK1+t5ecdmVpvReEgxIYF8sr/
+XU63jnJjfK1JcXEx27dvZ8+ePezdu5cjR46Ql5dHVVWVRdf19vamQ4cOxMbGEh8fT0xMDPHx8cTH
+x5OSkkJKSgre3t52P29dXR0jR44kPT1dxvxl4Alr7KO9OQBgfvMeFzXasWMHAwcOFF7s4Xd/YNP+
+41qf2alxd9Pzr3uvtHj07wcffMC999570a/x8/Pjxhtv5Pbbb2fo0KFaH93qfLp8J+8t3qKc0nZO
+146RvPP7GQT7O3YdTXV1NXl5edTU1FBbW0tFRQW1tbVniwl9fHzO3sB9fX0JDg4mKCiIoKAggoOD
+CQiwvIDRVuTm5tKjRw9qa4U1ftIxywVbTHt0ABIxT10SUkGcM2cOX375pfBi+3IKuePVBVqf2WnR
+6eBvt0zkymHdLLrON998w5w5c4TCjKmpqdx4443MmjWLnj17av1WWI0VOzP526craDVoMoJcoTE9
+EqJ45/dXEaBaSDXn3HSkACbMUw4tHlPaHh0AgIVcfIzkb3B3dyczM5PExEThxe59/Xt2HDmp9Zmd
+kvtmDOOOqYMsusbKlSuZNm2aRW05SUlJXHnllVx22WWMHj3a6dMEy9IO88x/V2JUoYB2RWxYIJ/9
++TrVQeMg5ObmkpCQICOONBFYZen67WEWwPkoAm4TMTAajRiNRqZOnSq8WFRoAEu3WaVmo11x9ehe
+/H7WCIuukZaWxhVXXGHJzHEAKioqSEtL46uvvuIf//gHP/zwA3v27KGwsBCAgIAAp+omSIkLJ9DP
+my0HT2i9FYWd8PHy4P2HZ9EhXGg4qsKGBAUF8dlnn8m0B6YD2yxdv71GAMD8BvYRMfDz8+PEiROE
+hYUJL3bHqwvYl1Oo9ZmdhjF9kvjH/11hUS/yoUOHGD16tCUtN0LExMTQuXNnOnToQFRUFJGRkQQH
+B+Pr60vv3r3p37+/vd6+NvPsZytZslU5p+2Bx64dw/XjhT7yFHZg9uzZfPfdd6JmrwF/tHRtd60P
+ryFvAv8RMairq+Pdd9/lb3/7m/Bid0wdxMPv/qD1mZ2C3skxvHSXZRK/ubm5TJ482W43f4DCwsKz
+EYFfc/311/PVV8IdqDbnT9eP5eDxInIKhZWyFU5E76QYrh3X2+rXbWppZevBExRX1jF7TC/0rjqU
+w4b4+/vLmFll4Ex7mQVwPr5CoojinXfekQonj+iZQJd42/SVuhIJ0SG8ft+VeHnI+6YlJSVMmjSJ
+kycdp+5i4sSJWm/hvPh4efDCHZPt3gOusC+PXTvaajfnytoGlqUd5omPf2LiYx/z2AdLeXX+Oh54
+cxFl1fVaH9XpqKmpkTETmyl+AdprDQBAKxAIjBYxqq+vJzY2lkGDxArTdDqICPZTfdgXITzIjw/+
+MIuIID/pa9TU1DBp0iT279+v9XHO8uc//5k//tHiaB25RZUs3nKQNXuyycovw9PDzaL36gxhQX5U
+1jZwUEkHuyQjeiZwyyTL5sg0tbSyYmcm/1ywgVfnr2fNnmxyCstpOaeTJL+0miVbDuHv40nX+Eh0
+KhrQJl577TUKCgpEzXYBFoeU2/t3KBY4hlkgqM0kJydz5MgR3NzE/SdVC3B+/Lw9+fjRq0m1IErS
+3NzM1KlTWbNmjdbHOcv06dNZuHChtHwoQG1DM28v3MzCjQd+U7WfFBPKtGHdmTmyh0VtXTX1TVz9
+9OdqgJUL8p8/XUPvpBgpW6PJxA+bD/HeD1spF3i67xIfwd1XDGZMn2SXHdV9BpMJ6TOWlZURGRkp
+o4L4T+AxS/feniMAADVAZ6CviFFFRQWdO3emTx/xgpq4iCB+VEVXv8DD3Y1/3XclvZPlPqTA3KVx
+yy23sGTJEq2Pc5auXbuybNkyi5TGsvLLuOu1b9lxOI/zNQpV1DaQlpHLwo0HCAnwlU4zeXm4g05H
+Wkau1m+bwookxYTywEy5TpriiloeeGsx327YT0OT2BS/sup6Vuw8yuo9Wbi76ekYGYynu/Pfbqrr
+m9ifc4r1+3JYuPEA81btoUN4ILFhcp0VixYtkikABJgPbLf0PC7um7WJ7sABBN+LlJQUMjIypKIA
+D7y1iG2H1ActmD3n52+fzJTBXSy6zmOPPcY///lPrY9zlsDAQNLS0ujatav0NQ7nFnPvGwupqW9q
+s82sUT358w3jpPK9dY3NTHvyU6H1FI7N/VcN5/Yp4gqmRRU13PmPbzlVLpWf/g3enu6M79eZcf2S
+GdqtIz5eVklh2wyj0UReSSWZJ0s5evqVebKUoor/vR9Bft68+cB0eiZGS68zZMgQtm+Xuo9PAlZa
+ek7lAJhZBMwQNfrss8+45ZZbhBc7dKKYW1+er+RYgd/PGsktkyxrj3v99df5wx/+oPVRzqLX61m4
+cCHTp0+XvsbxUxXc9dq3VNaKh+RnjuzJUzeNl1r37YWb+exnx57Spmg7S166nRjByZnNrQbufHUB
+GbnFNtmTp4cb/VM60Dc5lt7JMfRMjMZXA4fAaDRxqqKG/NJq8kuqyC+tIr+0mpMlleQUltPY3HpB
+26iQAN55aIZFg8nWrl3L+PFSv6cmzF0AlZa+B8oBMDMM2CJq1LlzZzIyMnB3F69Y/9OHy1izJ0vr
+c2vKnPF9efRaoRrM3/D1119zww03aDJJ7EI888wzPP3009L2FTUN3P7qN5wskR+E8odrRnPDZX2F
+7Y6fqmD2M3Pt8TYpbEzHqGC+f1b8AeXfy3bw/g/iw89k0et0RIcFkBQTSlJMGNGhAUSHBhAV4k9Y
+oC8Bvl5CXUF1jc1U1TZSWdtAZV0j1XWNVJ1+lVXXm2/0JdUUlldLyWEPSI3jpbumEBboK33mlpYW
+Bg0axN69e2XM9yKYtr4Q7VkH4Fy2AusRnLOclZXFF198wW233Sa84H0zhrFhX0671WOfMCCFR64Z
+ZdE11q1bx6233upQN/8ZM2acd9pgW2luMfDoBz9adPMHeGfhZoZ0iyc5Vky0KiE6hNT4CDLzLJYZ
+V2jMgJQ4YZua+iY+W2HfCJDRZKKgtJqC0uoLDk7zcHcjwMcTH6//1WvrdbqzRbEGo5H6xmbqGlsw
+2OjzQK/TcduUgdxz5VCLNEoAXn31VdmbP4DVCp2cvyrDehQBN4oaHThwgPvuu0+4yjvY34fq+kb2
+Hzul9bntTr/Osbx2zzTc3eQr4/fv38/kyZOpq3OcGffdunVj6dKl0kV/JhP87dMVVpHnNRhNHD9V
+wTSJIUo19U1sP5xn8/dLYVtumtCPzh3ChWwWbjzI+r05Wm/9NxiNJhqaW6mpbzr7qq5vPPv32oZm
+mloMMpr6bSLY34dX/u9yZo3qaXF7486dO7ntttswGAyyl3gQ8/3KYpT6x/9Yjjm0IkR2djaff/65
+1IJ3XzGk3Q3l6BgVzGv3TsPTQ973zMvLY+rUqRbPCrcmkQMmfS0AADINSURBVJGR/PDDDwQGyuus
+v//DVlbstJ5OxM4jJ6WKTQd3jbfJe6SwL107RgrbrNildEp+zcAucXz51ByG97BsHDlAUVERs2bN
+oqlJutA2DdhnrbMpB+B/mIC/yxi+8MILUt/QAF8v7p0+TOtz242QAB/eemAGQX7ybXEVFRVMnTqV
+/Px8rY9zFj8/P5YsWULnzp2lr/HT9iP856cdVt/bvFV7hG26dIxw+CptxcXR63XCQ39q6ps4eEyJ
+QZ3B19uTP88Zx/sPzyIyREqu9xc0NDQwe/Zs8vIsiq69Y80zKgfglyzA3BIoxLFjx3j77belFrxq
+RA9S48TCdM6Ip4cb/7xnGnER8mN0GxsbmTFjBgcPHtT6OGfx9fXl22+/ZfDgwdLXyMwr4cUvVttk
+f2kZuZRUiqVJ3PR6unUSf3pUOA6xYYF4CPbdH84rsVn+3NkY3TuRr/92I7PH9LKKkFFzczOzZ89m
+06ZNllwmC3P/v9VQDsAvMQLPyhi+9NJLUoNn9HqdxZXwjo5ep+O52yZZLPRz0003sXHjRq2Pc5aI
+iAhWr17NlClTpK9RVdfIYx8svWjLkSUYTSY27j8mbJcQFWKT/SjsQ8fIYGGboydV4WeH8CBev/9K
+/nXflcLtkxeipaWFG264gWXLlll6qacxS9hbDeUA/JbvkKgFqKio4Pnnn5dacEBqnMVCOI7MAzOH
+M2FAikXXeOihh2QVs2zC4MGD2bJlC0OHDpW+htFo4olPfqKgrNqme5WRnpaVjlU4BiEB4i1q+aW2
+/Tl0ZPx9PLlvxjC+efpGRvVKtNp16+vrmTlzpjU+u7ZiHmBnVZQD8FtMwDMyhu+//z5ZWXK9/Y9e
+M5pgf9crCJw1qqfFg0heeeUV3nnHqqkvafz9/Xn99dfZsmWLRTl/gLcWbmZ7hu2r7WVG/UYGW57z
+VGiHv4/QeBMASqscp6PGXni4u3H9+D4sev5W7pg6yKIppL+msrKSyZMns3TpUksv1Qj8DrB6i4Ny
+AM7PYmC3qFFzczN//vOfpRYMCfDhDxb2xTsaw3t04vE5Yy26xty5c3niiSe0PgphYWE8+eSTZGZm
+8vDDD0tJQJ/LsrTDfLFS+EdMiuq6RmEbS/ucFdri5y3uAFTWiv+cOCse7m7MGtWT7569mceuHWP1
+h68jR44wdOhQS3P+Z3gSidq0tqCEgM7PmSiA8LjF7777js2bNzNihPgAjsuHdOWntCNsPWR5H7jW
+pMaF8/LdUy2aM79y5UruvPNOm/X2Xgq9Xs/w4cO56aabuPnmm/H1lVf+OpdDx4t48Qv7TSwUHeQC
+tFuBKldBJgLQ3GKbOhRHwtPdjRkjenDr5AFEWynH/2uWL1/OnDlzqKystMblVgNv2ur9UA7AhfkR
+szzwcFHDhx56iLS0NKmnxCduHMd1z82T+tB2FMKD/Hj9/ivxlXgKOcP+/fuZPXs2LS32fR88PDwY
+M2YMs2bN4qqrriImxrq58NKqOh77cClNdvywDZJ4ummRFylROACiHQAATa2u+z2PDQ/k6lG9mD68
+u820VwwGAy+88ALPP/+8JSI/51IK3Ia5ON0mKAfgwpiAP2AuvhCKh+7atYv33nuPBx98UHjR2LBA
+Hpw5glfnr9P6/FJ4ebjzr3unERUi710XFBRwxRVXUF1t+6IkNzc3BgwYwLhx4xg7diwjR47E3982
++e/ahmZ+//ZiiitqbX6uc5FpM1URAOdG5vvnakkffx9PxvRJZvLAVIb26Cg1IbOtFBYWcuONN7J2
+7VprXbIRuAo4acv3SDkAFycNc9/lHFHDv/zlL1x99dXExsYKL3rNmN5sO3SCDfvE27e0RKeDZ26b
+SPeEKOlr1NbWcuWVV1oqlnFBAgMDGTRoEEOHDmXYsGGMGjXKIvW+ttLcYuAP7y0h82Spzdf6NaN7
+i1c1KwfAuWmReJr3lIgaOBphgb4M69GJ8f2SGdq9k13O9PXXX/Pggw9SUmK1NkoT5if/zbbeu3IA
+Ls2TwExASL6uurqaRx55hK+//lp4QZ0O/nbLBOY8/yUlTlSZ+3/ThjLRgnY/g8HAnDlz2L3bOsVx
+bm5udO/enSFDhjB06FCGDBlC9+7dhec2WIrBaOSJT35i91H7qxd2CA9ibN9kYTvlADg3Mt8/H2/n
+U3/09fakV2I0Q7rFM7R7J1I6hFtFuKctnDp1ivvvv5/vv//e2pd+EhC/cUigHIBLcxxzEcbjoobf
+fPMNd9xxB5MnTxZeNNjfh2dvm8QDby06O/HKkZk6uAt3Xi6vhgfm2okff/xR2t7f35+RI0cyZswY
+hg0bxoABA2wWzm8r9U0t/PnjZWw5YP/CTp0OHr9+rNRTkHIAnBuZ4tvnbpvEe4u38tP2I1IRBFuj
+1+voGBlM146R9E6Kpm9yLJ07hGvSsfLFF1/w8MMPS4m/XYJXgJftdQ7lALSNl4DbAWF91Pvvv5/9
++/fj4yNeeDK4Wzw3T+rPZz/bdzynKL2TY/jrzRMs8rz/9a9/8e677wrbdenShZkzZzJ9+nQGDRqE
+u7vj/EiXVtXx8LtLOJxbrMn6t0wawPCecgNMWlURoFMTEewnbBMe5MffbpnAQ1ePZP3eHDbuO8be
+7ALKaxrsunc3vZ6YsADiI4LpGBVM5w5hpMZFkBwbhrentr/f+fn53HvvvSxZYrWJvOfyIvAXe57H
+cT4tHZtqzG2B74kaZmdn8+yzz/Lyy3JO3X0zhpGRW2wXwRgZYsMCee0ey6b7LVy4kD/+8Y9t/vro
+6Gjuvvtu5syZQ7du4uNu7cHh3GL++MFSCstrNFm/X+dY7pshP2iqpVVFAJyZ8CBxB+AMQX7eTB/e
+nenDuwOQW1xJVn4pJ4oqyS2qoLC8hvKaBipr6qmobaCtAUoPdzcCfL0I8PEi0NeLkAAfIkP8CQv0
+IyrEn/AgP+LCg4gND7RoVLgtaGho4LXXXuOVV16x1QjyZ5CUobcEVyv8tCXumCWCu4saurm5sW7d
+OkaOHCm1cHV9E7e9/DW5xZVavwe/wM/bk//86RqSY8Okr7F9+3bGjRtHfX39Jb922LBhPPDAA8ye
+PRtPT/kWQ1tiMBr5YuUePliyTbMwalRIAJ8/cR1hgfK6BV+uTudfCzZosn+F5Xz11xtI6WCfIWO1
+Dc0YTSbqG5t/M0zI38cLnU6Hp7ub5k/vMphMJr755hv+9Kc/kZsrPlq7DRiAx4A3tDif831HtKMV
++CMgrOtoMBi49dZbSU9PJyBAvD0u0NeL1++/ktte+Yaaeuk50lZFr9fx0l1TLLr5nzhxgunTp1/y
+5j9gwAD+/ve/M3HiRK2PfVHSswp45at1HM23f6X/GXy9PHj9/istuvmDqgFwdiIsiACIckZ0KNDX
+S+tjW5WdO3fy8MMPs3mzzYrxa4EbAJvkE9qCY8VZHJ9lgFTJZ05ODo888oj0wp2iQvj7XZYp61mT
+x64dzYieCdL2NTU1XHnllRQVXXj+uJ+fH6+//jppaWkOffPffjiPe1//nrte+1bTm7+bXs8Ld06x
+ynhpVQPgvHi6uxHk53pzRezFGRGywYMH2/LmXwCMRcObPygHQIYHgUoZw3//+98sXrxYeuGh3Tvy
+7G0TNddpv2VSf64d20fa/ky73/79+y/4NSkpKWzbts0quvu2wGg0sS49m1tf/pr73ljIjiM21eu4
+JHqdjqdvnSDV838+VATAeQkP8rNbK5wrcfDgQa699lr69u3Ld999Z0sJ8g3AQEDz6m6VAhCnAHNL
+4Icyxr/73e8YOnQoUVFyYjlTBnehxWDk+c9XadIeOHVwFx6cKVfLcIbHHnvsohOy+vTpw+rVqwkL
+k08v2Ir9x06xfPsRVu46Snn1pesW7IFOB3+8fgyXD+lqtWsqB8B5CZfoAGjPbNu2jTfeeIMFCxZg
+NNr0596Euc3vr5hTypqjHAA5PsacuxkjalhcXMz111/PypUrpVvWrhzWjVaDgZfmrWlzBa41mDgg
+hWdum2jR08VHH33EG2+8ccH/n5SUxKpVqxzm5m80mcjMK2FtejbLt2eSX1ql9ZZ+gV6n40/Xj2X2
+mF5Wva5KATgvapTzpamrq+Orr77i/ffft5rw2CUoA25FoobMligHQA4T5vnMexFUCARYt24df/rT
+n/jXv/4lvYGZI3vi7ubGS/PW2KXafNLAVJ6/Y5JFNQirV6/mgQceuOD/9/T05OuvvyY83D7Vyxei
+uKKWbRm5pGXksv1wHhV27oNuK256PU/fOsGqT/5nUBEA58WeBYDOhMFgYMOGDSxYsIAvv/ySqiq7
+OfMrgDuxsa6/DMoBkCcTeB6zeIMwr7/+OoMGDWLOHOExA2e5clg3OoQH8qcPl1FZa7ub1A2X9ePh
+2SMtGqZx5MgRrrnmmotO97vrrrsYOHCgzc5xIYora9mfc4o9WfmkHcrj2Klyu+9BFD9vT168cwoj
+eyXY5PrKAXBeZESAXBWDwcD69etZsGABCxcuvGjRsQ2oBR7FHDF2SDlXVSpiGR7ATqC3jLGfnx9b
+tmyhd28p87Pkl1bxyLtLyCm07o3L092NR68dzdWjLQsvl5eXM3ToUI4ePXrBr9Hr9Zw4cYK4uDir
+nuHXtBqMHM4tZv+xU+zPOcXe7EKKKrQR65ElNjyQ1++70qIWzEvx0rw1fL/xgNZHVUjw3O2TbBIV
+chaysrJYtWoVq1atYs2aNVRUVGixjdWYo8Q5Wr8fF0NFACyjBbgL2ILEe1lXV8esWbNIS0uzKOfd
+ITyIz5+4ng+WbOPL1XswGi13NuMjgnnxril07ySsfvzLN6ilhauvvvqiN3+AoUOH2uTmX1HTwL6c
+QvZmF7Av5xQZJ4ppanGI+hspRvVK5OlbJxDsb9s2LxUBcF4i2lENgNFo5NChQ2zfvp0tW7awevVq
+jh8/ruWWSjE/9c/FQZ/6z0U5AJazA3gBs5SjMNnZ2VxxxRWsXr0aPz/50J23pzsPXz2SSQNTeWHu
+Kumxsx7ubtw4oR93XzEYLw/LfjxMJhP33HMP69atu+TXjh071qK1ztDY3Mr2w3ls2n+MXZn5nCjS
+xPu3Oh7ubjw4czhzxvezS4uXcgCcF9EagJaWFv7yl78wdepURowYgYeHY04FbG1tJSsri4MHD7Jr
+1y62bdvGzp07qalxiAieEfgM+BNmJ8ApUCkA66AH1iDRFXCGyZMn88MPP1hF4tZoNLFubw7z16S3
+eQStp4cbUwZ14a4rBhMbFmiVN+Wpp57ipZdeatPXvv/++9xzzz1S67S0Gth04Dg/bs1g26Fcp37C
+Px89E6P5682X2TTk/2ue+PgnVu46avmFFHZn/Rv34Ofd9s+RvLw8OnbsCEBgYCDDhw9n8ODBDB48
+mF69ehEfH4/OTsICRqORwsJCjh8/zokTJ8jMzOTQoUNkZGSQmZlJc3OzJu/pJdgG/AHYqvVGRFER
+AOtgxDwtMB2Qunv+/PPP3HrrrcybN8/iefV6vY7x/ZIZ3y+ZjNxiVuzIZOeRk2QVlJ3tGNDrdESH
+BpAcG8bIXglMGJBCkJ9wQ8MFefvtt9t88wfzB48MS7dl8Nb3mylzkJ58a+Lv48n/XTmU68b2sbv4
+k8EKaSSF/fH19hS6+QMUFBSc/Xt1dTXLly9n+fLl/7umry+pqakkJSXRoUMHYmNjiY2NxcfHh+Dg
+YNzd3QkMDMTLywtfX1/8/Pzw9PQ8m3tvaGigsbERk8lEWVkZZWVllJeX/+LP/Px8cnNzycvLu2ih
+sINxFHgKWKD1RmRRDoD1OIa56GO+7AXmz59PeHg4b7/9ttU21a1jJN06mvP4rQYj9U0tNDa1EOzv
+Y9EEv4vx9ddf8/DDDwvZVFZWSq01slciWw/lsnz7EZucRQvc9HquHt2T300bYvNc/4VRDoAzItMC
+eK4DcD7q6+tJT08nPT1d6+M5CmXAc8D7mOvAnBblAFiXr4ERmOWCpXjnnXcwGo28/fbbFkcCfo27
+m55AXy+bDu346aefuOWWW4QVtTIyMqTWC/Lz5oU7JnPtmN68s3gLuzPblvJwRDzc3bhiaFdumTSA
+jpHBmu5FA5FJhRWIChEvALyUA6A4SzXwDvAa4BLFRcoBsD6PAv0xOwJSvPfee5SVlfH555877Njb
+8zF//nxuvfVWqTxdWwoFL0bv5Bg++sPV7M7M55v1+1iXnu00hWyhAT5cObw7143rY3UVN4PBwNat
+W4VHUav7v3MSHSo+bTQ/33mdZjtRC7wLvAo4vkiIAMoBsD4twBzM+gDSPXRff/01FRUVfPfdd/j7
+O35bz3vvvceDDz4oraV94MABdu7cabEQUP/UDvRP7UBpVR0/78hk/d4c0rMLrNIaaU083N0Y0i2e
+K4Z2Y2yfJDzcrZ+OaWpq4uabbyY2NlbcAVAhAKdExgGw0Zx7V6AM8xP/G0gOgHN0lANgG/KAmZg7
+A6Tj7StWrGDChAl8//33xMbGan2mC/Lcc8/x9NNPW3ydl19+mW+//dYqewoP8uPGCf24cUI/Kmsb
+2H44j/05p9h/7BRH8krsIp/8a0ICfBjUJZ6RvRIY1SuRABumYoqKipg1axZbtmyxaAy1wrlQDoBV
+2AV8BHwBuF518TkoB8B2bAHuBj635CJpaWn069ePuXPnMmnSJK3P9Auqqqq48847+e6776xyve++
++44VK1ZY/ZzB/j5MGpjKpIGpADS3GDicW8yRkyXkFJRz/FQ5x05VUFpVZ7U1PdzdSIoJpWvHSLp2
+jKBPciwpHcLt0sOfnp7OjBkzzn6wy7RwqQCAcxIdohwASWow3/A/APZpvRl7oRwA2zIX6Ao8aclF
+iouLmTp1Kk888QTPPPOM9BRBa7Jz506uu+46cnKsq3R56623snv3bmJiYmy2d08PN3onx9A7+Zdr
+1NQ3UVRRS3FFLWU19ZRU1lJWXU9Lq4HahmaMJhM19U2YMOHn5Ymbmx4vD3eC/b0J9vchLNCX6NAA
+4iKCiA4JsHvrHsDnn3/OfffdR13d/5wZuR5u5QE4I6IRgNbW1vZcA1CLeTrfd8AywHpPAE6C9ncS
+1+cvQBTmaVDSGI1GXnzxRTZu3MhHH31Ely5dNDlMa2srb731Fk8++SRNTU1Wv/6pU6eYPn06q1at
+IigoyK5nC/D1IsDXi84dHGMUsQg1NTXcd999fPHFF7/5fzLdJCoC4HzodOIOQEFBAa2triWcdQkq
+gZXAj5hv/O3upn8u1u0zU5wPE3APsMQaF9uwYQO9e/fmscces+c4y7NrDxgwgEcffdQmN/8z7Ny5
+k8svv5zycpcquLUZW7duZcCAAee9+YNkCkBFAJyOkABfYW2PdhD+bwLWY5ZqH425MPtazKnZdn3z
+B+UA2ItW4DrMP4gW09zczD//+U+6dOnCv//9b5t78EePHuWGG25g7Nix7Ntnn/TYli1bGD58+CWH
+CLVnampq+P3vf8/IkSMvOWlRFBUBcD5UASAAjZgleV8CJgKhwFjgWWAjTi7cY22UA2A/GoDLMXcG
+WIWioiLuuusuUlJSePPNN6XV9C7EunXrmDFjBl27duWrr76ye2vYkSNHGDBgAPPmzbPrus7AokWL
+6NmzJ2+//fYlWy9VBKB90A4LAFuAQ5hrrR4GRgEhwHDMEr2rcPEqfktRDoB9qQemY6VIwBmOHz/O
+ww8/TExMDHPmzOGbb76RcgZMJhPbt2/nqaeeokePHowbN44ffvhBurffGtTU1HDTTTcxdepUsrKy
+NNuHo7Br1y7GjRvHzJkz2/zhLVUEqO7/TkdMmMs6AFWYdVW+wvwkfyNmsTU/oAdwC/AmsAlzBEDR
+RlQRoP2pwxwJ+Aa4wpoXbmxsZP78+cyfPx93d3d69OjBkCFD6NatG0lJSURHR+Pj44OXlxfV1dVU
+VlZSWFjIwYMH2bdvH7t376aoqEjr9+e8LF++nJ49e/KnP/2Jxx9/3KLRyc7I4cOHefHFF/nyyy+F
+HTKVAmgfRNk+AnAC8AQisN69oxooAIqBfKDo9H+fwjxfJfP0/1PYAOUAaEM9cBXwMXCbLRZobW1l
+79697N27V+uzWo2mpiaef/75s6qDDzzwAGFhzlexL8LevXv517/+xbx58zAY5MSLZBwAo/IAnA6Z
+CMCJEydEvnwO/xt5G3H6FY7ZKfAAfi1Z6o45ltSIueWu+py/12B+GFIheg1RDoB2tAJ3YPZ6nwTs
+3zRuX34GxgAWzxwuKyvjmWee4bXXXuPOO+/krrvuomfPnlqfz2o0NTXx3Xff8eGHH7JhwwaLr2ev
+We4KbZEpAszLyxP58nO9hZLTL4UTo2oAtMWEWSfgOly3JcUEvII57XELVswu19bW8uabb9KrVy8G
+DhzI22+/TWFhodbnlWbHjh08+uijxMXFceONN1rl5g+qCLC9IFoEWFlZKdJK3Iw5LK9wIVQEwDFY
+gDnX9T2QpPVmrEgNcDOw+Jxz9gL+au2Fdu3axa5du3jooYfo168fl19+OZdddhkDBw502GFKra2t
+pKWlsWjRIr799luOHz9uk3WkujfU/d+p8PZ0J9jfR8hGMP9/EnCO8ZqKNqMcAMdhL9AP89jJm7Te
+jBXYcfocmb/696cxi3H8ny0WNZlM7N69m927d/PCCy/g5uZGz549GTZsGEOHDmXIkCGkpKTg5mb9
+6XuXoqWlhQMHDrBp0yZWrVrFunXrqK6utvm6MrUDahqgcxEdGiA8Z0LQAXCKdgGFGMoBcCyqMT8x
+LwfextzT6mwYgJcxt+ucT3TDBNyLubXnTzbfjMFwthjygw8+AMDLy4suXbrQtWtXunfvTrdu3ejc
+uTMxMTFERUVJFc2dS0tLCydOnCArK4usrCwOHjzIrl272Ldvn00VFC+ETBunuv07F3YQAVIOgAui
+HADHZB5mEYt/ATdovRkB0oH7+F+l8IUwAY8DFZgVu+xapdbU1MS+ffvOq2ro5uZGVFQUMTExxMTE
+4OPjQ1BQEHq9nuDg4F/k0+vq6mhubqauro7i4mKKioooLS2luLjYofTVZSIAqmzQuVAOgEIG5QA4
+LkWYBS/+C7wG/9/evQdnVR54HP++uV8JuQK50KBECIhALSoW6qoVHKu1FDsu1kFbL63dcTu647q7
+dtbK4Bbb3WntrO4y2tVVWqfLytiFKq2Clxa5KcYqCM2FgCEkBAISciG3s3+cQFEx7/uc5H2f95z3
+95k5045znnPeJwSe3/tcucD2BxrGMdxx/f/A7QGI1ArgfeC/cbfstG5gYIDm5maam5ttf5RR46UH
+IGThJEPxzssugIZLABUAAkirAOLfy7hzA27l48tw4kEn8GOgCvh3zBr/U9bh7uq1zXZlgspLD0DK
+CIdBJLZisAtgbE8ek5jQ33J/GMT9llyFu5QuNifyfLajwE9wVyzcDxwe4fP2AfNwl0R2W65b4Hjp
+AUhSD4CvxGAIQH8vA0gBwF/6cA++mAVcOfT/Y7mT1nbgdqAcdwLfaG7R2Qc8jDvU8XIM6xR4XnoA
+kkL6p8FPTANAX1+f6Z4ZCgABpL/l/uTgniq4FBiPO1dgFaO/Z/YAsBX4B2AycBHwC6IbOuqABbhb
+Jb8XxfckDE9DAMnqAfCLpFCIkrFme10cOHDA9PdCASCANAnQ/zqAXw1dSbjzBebgfpOeAZwPjI3g
+OQ7QiDsp7z1gE+752R2W6vUbYC3uLokPAlMsfY541Q58AHwx3I3ehgD03cAvivKySU0x29fCcAIg
+KAAEkgJAsAwCbw9dZyrC3XynBPfPPBf3L3Q/7uSeU6dx9UX8ptjV5zng17hbCf8t8GUSe5XaTuDn
+uD0+i4kgAHjpAUjWHADfiMH4PygABJICQGI4PHTtsv1BPBrEXS2wDqjGnYfw10Cp7Q8WIz3Ai8B/
+4u4P4Zzx38NSAAi2GAWAiH7XxF/Uzyd+8wHwd0AF7kTIX+DumRA0g8CrwG3ABNxv+y/z8U36ohYA
+QoPejh6W2BtfYH7WheEpgKAegEBSD4D41SDuRMiNuEMCs4GrcScQzgGybH9AD07gNvq/A17APSp6
+OBEFAE8bATkKAH5RWphnXEZDAAIKABIMDrBj6PoXIBV3qeTcM67P2f6QZ9GDu33y67iN/ibcY1dN
+yoflqQdAAcA3yorHGJfZu3evye0ObjiVgFEAkCDqw92zYDvuhDlwV0LMGLouwF0dcS7uMspYOIa7
+xLHmjM/2PiObeBnFHgCd/OoXZYY9AI7jmK4C6MLbLp8S5xQAJFEcw13W+IdP/PdMYNIZVzFQyF9W
+TuQDp75ipQHZZ5Q9jvsP40ncb0iHgbah/23F7cKvG7qORKFOUesBSArpPEA/CIXMJwEePHiQ7m6j
+Hn1bS4ElyhQAJNF1466O8OMKiSgOAagHwA+K83JISzXbA8Cw+x/coCsBpFUAIv4VtSGAZPUA+EJZ
+UdTH/0E9AIGlACDiXxH14/b2mswrdIVQAPCDCYUKAOKdAoCIf0XUA+AlACRpCMAXyovNlwAqAMgp
+CgAi/hVRAOjpMd/ETRsB+kNpbHoANAcgoBQARPyrf+galpcAYHq4jNgRowBwzHY9JToUAET8LWzr
+fvLkSeOHpqWl2q6XRMB0E6C+vj6amppMX9Nuu54SHQoAIv4WNgB46QHISE+3XS8JIzUlmeI8s3MA
+9u/f72VZqAJAQCkAiPhbVHoA0tUDEPcmFOSSZDhZw0P3P0RnEyuJAwoAIv4WlR6A9PQ02/WSMEpj
+swcAqAcgsBQARPwtKj0AGQoAcS9GEwBBASCwFABE/C06cwDSFADiXVmR+R4ADQ0NXl6lIYCAUgAQ
+8bewuwH29vbiOGY7+6UrAMS9GA4BHLVdV4kOBQARfwv79d5xHOPdADUEEP/KYjMEMICGAAJLAUDE
+36KyG6AmAca/MsNtgDs7O2lrazN9zWHcECABpAAg4m+dkdxkOhFQASC+ZWWkkZedYVTGY/f/Qdt1
+lehRABDxt4j2aTftAdAQQHzz0v3vcQJgi+26SvQoAIj4W0QntRn3AGgSYFyL4QTAVtt1lehRABDx
+t4gCgHEPgHYCjGtlsQsA6gEIMAUAEX+LUg+AAkA8Ky003wNAPQDySQoAIv4W0RyArq4uo4empaYQ
+MttmXmLIyxBAY2Ojl1cpAASYAoCIv0XUA9DREdFtp4VCIVJTkm3XTT5DeeyGAD60XVeJHgUAEX+L
+qGU/fjyijoKP0TyA+DXBcBVAW1ubcQgcst92XSV6FABE/C2ilt1LAMhOVwCIRwW5mWQa/tl4/PY/
+ABywXV+JHgUAEX+LWg9ATma67brJWZR6OATIYwBoBvpt11eiRwFAxN+iMgcAIDtTewHEo4klY43L
+eAwA+2zXVaJLAUDE36I2BJCjABCXyg3PAADPuwBq/D/gFABE/C16PQAZCgDxqMJDD0BdXZ2XVykA
+BJwCgIi/nQTCnvXraRKgAkBcqvDQA1BbW+vlVZ66DcQ/FABE/C/s13tNAgwO0x6A7u5umpubvbzK
+U2oQ/1AAEPG/sAHAyxCA5gDEnzFZ6cbHANfX1zM4OOjldZ7GDcQ/FABE/C/s13sNAQRDDMf/u3GX
+AUqAKQCI+N9H4W5QAAgGLwHA4/h/HeCp20D8QwFAxP+OhLtBywCDwcseAB57ADT+nwAUAET873C4
+G06cOIHjOEYPzdYkwLjjZQ8AjwFA4/8JQAFAxP/CBoCBgQE6OzuNHqoegPgTwzkAO23XVaJPAUDE
+/8IGADAfBtAcgPhTbngOQE9PD01NTV5epQCQABQARPwvogDQ3t5u9NBcDQHElTFZ6eTnZhqVaWho
+8LIEcADYZbu+En0KACL+F1EAOHw4ottOy8vJIDlJ/0TEi8rxBcZlRrACoNt2fSX69LdbxP+iEgCS
+QiHG5phtOiPRUzk+37iMxwDwvu26SmwoAIj4X1skN5kGAICC3CzbdZMhXgLABx984OVVCgAJQgFA
+xP8OAmHX+HkKAGMUAOKFlwCwe/duL69613ZdJTYUAET8rxsIO8PvyJGw+wV9SqECQNzwMgdgz549
+Xl71lu26SmwoAIgEw4FwN3jpAVAAiA+pKcmUFY0xKtPa2uol9LUAH9qur8SGAoBIMIQNAIcOHTJ+
+qIYA4kNFcZ7xigyP3/63266rxI4CgEgwhA0ABw8eNH6oegDig5fuf48TABUAEogCgEgwhA0Azc3m
+p7tqFUB8iOEEQAWABKIAIBIMYQNAe3s7J0+eNHqohgDiw+SyQuMyHgKAA7xtu64SOwoAIsHQGO4G
+x3GMhwE0BBAfplaUGJfxMASwmwj3lJBgUAAQCYb6SG4yDQBjczJICoVs1y2hZWWkUV5idghQR0cH
+H35oPJn/ddt1ldhSABAJhn1Ab7ibTOcBJCclqRfAsinlRcYhrKamxsshQAoACUYBQCQYBnBDwLC8
+HA07wXD9uYyuqRPNu/937Njh5VVv2K6rxJYCgEhw1IW7obGx0fihZYUKADZNrxxnXObtt43n8tUC
+5stExNcUAESCI2wA2Lt3r/FDJygAWDW7qtS4jIcA8JrtekrsKQCIBEfYiYBeAkCpAoA1ZUV5jMvP
+NSrT1dXlZRfA9bbrKrGnACASHDvD3eBlCKDCcAa6jB6v3/4HBgZMivQBr9iuq8SeAoBIcLwT7obj
+x48bHxAzaYL5JjQyOj5fVWZc5vXXjSfzbwKO266rxJ4CgEhwHCGCHQHr6sJOFfiYgtxM8nMzbdct
+4YRCcEn1RONyr732mmmRl2zXVexQABAJlnfD3bBr1y7jh07ycBiNjEz1xBJK8nOMyvT29rJ582bT
+VykAJCgFAJFgqQl3w86dYacKfIqXvehlZOZfcI5xmS1bttDV1WVSpB54z3ZdxQ4FAJFgCdsD4CUA
+eFmLLiNz2UzzAPDqq6+aFlltu55ijwKASLC8Fe6G999/3/ihMyZNsF2vhFJenEdVWZFxufXrjVfz
+/a/tuoo9CgAiwdIADHsKTFNTE8eOHTN6aEXJWPKyM2zXLWFce0k1pmcwNTc3s23bNpMiDYCnPYMl
+GBQARILnD+Fu2Lp1q9EDQyGYM7XCdr0SQlIoxFfmVhuXe+GFF0wPAFoNOLbrK/YoAIgET9hDXTzM
+FOfyWefarldCmDO1ggkFZrv/AaxZs8a0yHO26yp2KQCIBE/YnWDefPNN44fOm1FJWmqy7boF3qJ5
+043LHD16lDfeMDrM720imDAqwaYAIBI8e4DW4W7YunWr6XaxZGekcfWcKbbrFmgTx43litmTjcut
+WbOGvr4+kyJP2a6r2KcAIBI8DvDycDccP37ceB4AwJIrZ9muW6DdsuBCkpIMZ/8BTz75pMntPcCv
+bNdV7FMAEAmmsAPCzz//vPFDq8qKuEiTAaOiJD+Hay6ealzuvffeY8uWLSZFXgCO2q6v2KcAIBJM
+64HO4W5Ys2YNjmM+CVy9ANHx3esuITXFfI7FE088YVpkpe26SnxQABAJpm7C7PHe2Nhoum4cgC+e
+X8nEcWNt1y9QplWO41oPS/96enr45S9/aVLkHeA12/WV+KAAIBJcYfv4V640/zKYFAqx5IpZtusW
+GKEQ3HfjZSSZ7vwDrFq1ivb2dpMiP7VdX4kf5r9xIuIXucAh4DO38MvMzKSpqYmCArPT/rpP9vHV
+HzzN0Y5u23X0va/Nm84Pbr7SuFx/fz9TpkyhoaEh0iIHgUqg13adJT6oB0AkuDpw5wJ8pu7ubp5+
++mnjB2emp3Lrwi/Yrp/vVRSP5d4b5nsqu2rVKpPGH+Ax1PjLGRQARILtf8Ld8Pjjj5tuIQvANy67
+gHH55jvWiSslOYnlty0kKyPNuOzAwAArVqwwKXIMNwCInKYAIBJsa4FhD4ivr6/nxRdfNH5wWmoy
+d3zlItv18617bpjv+Zjl5557jj179pgU+SluCBA5Tft6igRbLzALGHZ/2YaGBm6//Xbjh59XUczm
+Xfs5dOyE7Xr6yje/PJvbPYanrq4uFi9ezEcffRRpkWPATbgbAImcph4AkeD7dbgbtm7dysaNG40f
+nBQKcf+Sv/I0gz1RXXVhFd9fPM9z+Yceeoh9+/aZFPk39O1fzkI9ACLB1wjcDaQPd1NTUxO33HKL
+8cOL87I5fLyLD/Ydsl3PuDd/xiQevv1qUpK9fffavXs3t956q8k5Di3AzWjyn5yFegBEgq8b+E24
+mzZu3Gi6pexp3188j/LiPNv1jGvXza3mX+/6CmkedvsDcByHu+++m95eo7b8AdzVICKfogAgkhjC
+DgMALF++3NPDs9JTWfatBSQn6Z+Us7ll4YX889KrRvTzeeyxx3jllVdMirwFPG277hK/NAQgkhga
+ge8BWcPdVFtby+WXX05lZaXxC8bl55IUCvHWnibbdY0b2RlpLPvWApZcMYuRTJN49913ufHGG+nv
+74+0iAMsAYwmC0hiUQAQSQyDuLvAzQl3Y01NDXfeeSchDy3W7Mll7D90lPrmI7bra92UimIev2cR
+syeXjeg5nZ2dLFy4kJaWFpNiq4BHbf8MJL4pAIgkjibgu+FuamlpYdKkScyaNcv4BaEQzJtRyZZd
++2j7qNO4fBCkpSZz2zUX8cNbr6IgN2vEz7vjjjvYsGGDSZE24HrC7P8gorU7IollOxB2D9+ioiJ2
+7dpFcXGxp5e0d3TzvZ+toe5AYvUEzJlSzv1LLqdyfP6oPG/ZsmU8+OCDpsVuIIKDoETUAyCSeK4L
+d0NXVxctLS0sWrTI0wsy01O54vNVvLlzX0IcGDSlopgHbr6Sv/napYzNyRyVZz711FPce++9psWe
+Bx6y/fMQf1APgEhiycKdGFYUyc3r169n4cKFnl/W3tHNvY+v5f29RuPXvnFeRTHfufZivnTBOSOa
+5PdJL730Etdffz19fX0mxQ7i7vqoDRkkIgoAIonnh0BE/cqlpaXU1NR4HgoA6O0fYMWvXuX/3txl
+u96jIjkpifkXTOKGL83g4uqJo9rwA7z88sssWrSIzk6jORSDwELAaJ2gJDYFAJHEU4jbC5Adyc3X
+XHMN69at87Qq4EyrX/8Tjz7/R3p6I17KFlfG5efy1UurWTTvfEryc6LyjtWrV3PzzTebbvYD8APg
+Yds/I/EXzQEQSTzdQBkRLAkEd2+AMWPGMHfu3BG9dHrlOK6eM4V9rUf5sC3ig2ysKsrL5rpLp3HP
+DfO55xvzmTOlguxM8+N7I7Fy5Uq+/e1vm6z1P+V3wF24a/9FIqYeAJHEVA78GYhoxlpKSgq//e1v
+WbBgwai8/Pdv/Zkn1m1jb0u77Z/Dp0wuK2TutM8xb0Yls6vKon7Q0cDAAA8++CAPP+zpC3wtMBdI
+rOUWMioUAEQS1wrg/khvzsvLY9OmTUyfPj3SIsMadBxeq2ng6fXb2WXxIKGCMVl8vqqMudMmMnfa
+56LWvX82ra2t3HTTTZ5OYsRt9C/FDXIixhQARBLXWKAOd05ARCorK9myZQvjxo0b1Q/y5w/beGVH
+Ha+8Xcv+Q8eiVuFQCCaW5DPz3AnMripj5rkTmFgyNmrvG84bb7zBkiVLaG5u9lK8F7gaeNXKh5dA
+UAAQSWz3AT82KXD++eezYcMGSkpKovKB6puP8KeGg+xsbGVXYyv1ze0MDA4aP6dgTBaTxhdwbmkh
+k8uGrtJCsjKiM4YfqZ6eHpYvX84jjzziZbwfYAD4JhEe8CTyWRQARBJbGrADMOrXnz59Ohs2bBj1
+noCz6esf4GhHN20fdXLkeBdHO7oYGPz4fLfkpBD5uVkUjcmiaGw2BblZpCTH38mEGzZs4K677qK2
+ttbrIwaBbwHP2K6LiIj438VAP+4s8oiv6upq58CBA46Ed+jQIWfp0qVOKBQy+hl/4hoEvmP7l0VE
+RILl53holEpLS51t27bZbl/jVkdHh7NixQonLy9vJA2/gxvQbrP9SyIiIsGTi7s5kHHjlJGR4Tzz
+zDO229q40tnZ6Tz66KNOSUnJSBt+B/dUP2+HMoiIiETgEuAkHhqpUCjk3HfffU5PT4/ttteq1tZW
+Z/ny5c748eNHo+F3gHZgvu1fDBERCb7vM4IGa9q0aQk5JLBjxw7nzjvvdDIzM0er4Xdw1/dX2/6F
+EBGRxPEMI2i4UlJSnAceeCDwvQHt7e3OE0884Vx66aWj2eifutYC+bZ/EUREJLHkADsZYSNWXl7u
+rFy50unr67PdVo+a7u5uZ+3atc7SpUudrKysaDT8/cA/oiXaIiJiSQWwl1Fo1KZOneqsXr3aGRwc
+tN1+e9LW1uY8++yzzpIlS5wxY8ZEo9E/de0FLrP9By8iIjIZaGaUGriqqirnkUcecVpaWmy36cMa
+GBhwtm/f7ixbtsy5+OKLnaSkpGg2+qeuZ3B7XkREROLCDOAwo9jYpaamOl//+teddevWOV1dXbbb
+e+fkyZPOpk2bnBUrVjjXXnutk5+fH4sG/8yJfqNzxKKIIY0ziUg4c4Df4x4eNKoyMjKYN28eCxYs
+4KqrrmLmzJmEonj87uDgIHV1ddTU1PDOO++wefNmtm3bRnd3d/R+emfXBfwI+Anu0kuRmFMAEJFI
+TAdeBCZG8yWFhYXMnj2bmTNnUl1dzaRJkzjnnHMYP348GRkZET3jxIkTtLa20traSkNDA/X19dTV
+1VFbW8vOnTs5ceKEzZ/jIPAc8ADuxksi1igAiEikJuAuT7vQxstzcnIoLCwkOzubzMzM0/+9v7+f
+jo4O+vr6aGtro6enx/bP6bO8Avw98I7tDyIiImIqB3iW2I2R+/0aAH4DzLX9ByciIjIabgVOYL+B
+jderB/gvYJrtPygREZHRNhXYiv3GNp6uOuA+oMj2H46IiEg0JeGeUX8E+42vrasdeBK4cujnISIi
+kjCKcBvBfuw3yLG4juPOhbgWSLP9wxcREbHtPNyx717sN9KjfR3GXcK3GPjLMgQRERE5rRJ4HOjE
+fsPt9ToJvAb8E/AF1L0vIiISsVzcFQMbcZfE2W7Uh7v6gO3Az3C79rU3vwSaNgISkViZCNwELMRd
+F59u+fN8BLwJbAb+CGzD7bEQSQgKACJiQyZuCLh86JoFZEfxfW1AzSeu3bhb84okJAUAEYkX5biT
+CKuG/vc8oBgoAAqBDCDrjPv7gQ7cRrwNd5LeYeAQsB+oP+Nqt105ERERERERERERERERERERERER
+ERERERERERERERERERERERERERERERERERFJKP8PYkYH/DIvWIEAAAAASUVORK5CYII=
+"
+ id="image13044"
+ x="74.692276"
+ y="130.02034"
+ style="stroke-width:3.97671" />
+ <rect
+ style="fill:#ffffff;stroke:none;stroke-width:1.265;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;fill-opacity:0.73301107"
+ id="rect13086"
+ width="130.82137"
+ height="113.0019"
+ x="32.462914"
+ y="73.501602" />
+ <image
+ width="57.52919"
+ height="57.52919"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAASwAAAEsCAMAAABOo35HAAAAGXRFWHRTb2Z0d2FyZQBBZG9iZSBJ
+bWFnZVJlYWR5ccllPAAAAyJpVFh0WE1MOmNvbS5hZG9iZS54bXAAAAAAADw/eHBhY2tldCBiZWdp
+bj0i77u/IiBpZD0iVzVNME1wQ2VoaUh6cmVTek5UY3prYzlkIj8+IDx4OnhtcG1ldGEgeG1sbnM6
+eD0iYWRvYmU6bnM6bWV0YS8iIHg6eG1wdGs9IkFkb2JlIFhNUCBDb3JlIDUuMC1jMDYxIDY0LjE0
+MDk0OSwgMjAxMC8xMi8wNy0xMDo1NzowMSAgICAgICAgIj4gPHJkZjpSREYgeG1sbnM6cmRmPSJo
+dHRwOi8vd3d3LnczLm9yZy8xOTk5LzAyLzIyLXJkZi1zeW50YXgtbnMjIj4gPHJkZjpEZXNjcmlw
+dGlvbiByZGY6YWJvdXQ9IiIgeG1sbnM6eG1wPSJodHRwOi8vbnMuYWRvYmUuY29tL3hhcC8xLjAv
+IiB4bWxuczp4bXBNTT0iaHR0cDovL25zLmFkb2JlLmNvbS94YXAvMS4wL21tLyIgeG1sbnM6c3RS
+ZWY9Imh0dHA6Ly9ucy5hZG9iZS5jb20veGFwLzEuMC9zVHlwZS9SZXNvdXJjZVJlZiMiIHhtcDpD
+cmVhdG9yVG9vbD0iQWRvYmUgUGhvdG9zaG9wIENTNS4xIFdpbmRvd3MiIHhtcE1NOkluc3RhbmNl
+SUQ9InhtcC5paWQ6RDJGNjUxMzYzRkI5MTFFQTgxMTFCMzM0MTU3RjA5QjciIHhtcE1NOkRvY3Vt
+ZW50SUQ9InhtcC5kaWQ6RDJGNjUxMzczRkI5MTFFQTgxMTFCMzM0MTU3RjA5QjciPiA8eG1wTU06
+RGVyaXZlZEZyb20gc3RSZWY6aW5zdGFuY2VJRD0ieG1wLmlpZDpEMkY2NTEzNDNGQjkxMUVBODEx
+MUIzMzQxNTdGMDlCNyIgc3RSZWY6ZG9jdW1lbnRJRD0ieG1wLmRpZDpEMkY2NTEzNTNGQjkxMUVB
+ODExMUIzMzQxNTdGMDlCNyIvPiA8L3JkZjpEZXNjcmlwdGlvbj4gPC9yZGY6UkRGPiA8L3g6eG1w
+bWV0YT4gPD94cGFja2V0IGVuZD0iciI/PhMrP1wAAAGAUExURWOmTOnp6pCQj1GYO2hLIm1tbfl6
+JPqHI/7PDpDKRNjY2cvmpk9NTMjJyfmWIVsyEvP3+HevaonFOSwsK6eoqbe4uctrGbCNE7XahPy2
+GAwLC49KE/uqHKjVbfuhIK/RqK1SFbFxEcSNDdlsHu3z9OqQGu53II1qCuF2HYl3XXVlVM1YGJrF
+k/f7/GtXQdbsuztQHYFWJd7l59OnCtnd4oe5fuVoH0GOMrzEy7e7wu7v8JaYmpLFbcjM05miqaWt
+tYaHiHZ4ekMlEKyzu4NpLOmmFs7V3OPzzZvUTy4YCqylmaGZjPf39++EH+i7CLVhGmNlZ3k9Eb/h
+kZ9aGtWDGbKspbLgdk1uKVdXV/3BE7q2q8LcvOGYGMa7s0VDQDo4NuezEH++L/RxJCIiIuijCPL7
+/HW1Pq6urtHR0abfVcHBwrKyshgYGKCgoGBfXvv7+/r///v7//r/++Pj4/Pz88NgGu/39vDv9tOu
+JN/f34B/f//7////+9t5PwAAAP///4+hwHcAADQASURBVHja7J2NQ9pIt/9NTKBiICovVfAFi0Vq
+FcWqVahtgq2r27iAuDV92idUuSssb+leoHvhd6/8679zJuFN0fpS7d5rpttui7zlkzNnvufMmZm+
+htGu3PoMBAYsA5YBy4BlwDJgGQgMWAYsA5YBy4BlwDIQGLAMWAYsA5YBy4BlIDBgGbAMWAYsA5YB
+y0BgwDJgGbAMWAYsA9b/wu8sURbrhjPvdFqzNapiwLoMlSUvxJVUupC2K7JIZ7JlSTVgXcCqqBTc
+zVYoFNKpeLFswOrZwrSSSqXs7tN2c6fFsAGrR6tkuFIx5yyKcsqeToNhoXG5S5RqwDrfrKV6Fv6n
+VqhshhbjMsdxMktnVcOyzjeK5jZ0MMCrXLNgq1GS4bN6NCcrUobOumITSvmWrlKxaX8xYPWSDWzJ
+ohqWdaWmUvG41DBgXVG7l0QjNrwqrJxcN2BdrRM2JKcB68qwKhkD1tVh5Q1Y1+mGD93Bt8TlFRw8
+Z4yGVx4Ns3JcfdCw1HC5TFWuxEAty3L4QcMqO0SxnrFcSZmHS1ztAYc7alZkBUGMx0XnFXBJcc4q
+PVxYljpHZ7MbEbEUp7/PQRUVR+XhwsqkRIs20LFK3Bn+Xh+jOTr8YGGpdFqsaT2Mcsip+vemaRzy
+A07+lVm3nG1o9hR2ilwpf3lXtMY5y4OFtSmf2p3twU5QuMilxlUWU7n/fqiwctypO9Lx7w3ZXr9s
+WksS7A7pocJyKqenbLlTo4sp2XqJj3OkaOqhwsoALC7XrSUUrhi+xBRZ60OFlQdYKUd3TyumlOKF
+1mNhlcxDhmWnux+rWDm7cJFtUfTZpz8cWBvc6Wmhfsajq9aSnb1AIUg5uyg9UFhWGWCJlfNyyn6R
+a6I42fpAYVnip6fu+HkPRYlpuXciQhIV+oHCKotugHVeh6qUYOc2e8JyKPEHCkuiC6fuUi/RXgZa
+zl5Kiyop1MOE1cik3b0sCwdF2s5t9OqJ9VSm8jBhWRV3L59FbChiT/VKcTk4tvYwYZXr7lP2Ai1A
+RVKpjfMPZ0XF+jBhSdaUPXLRD8N0L78lFX9aMP2zJyzUYuTiDJUUsXPZc2CsnGh5mLAal86wqmBb
+58DUxFJGf6X6wGBd3kBvydmzD27G6QdqWd8bAYR0vIvWX+GVdU52OJ0b65sW6i8DVnd8Y2ebsz4q
+LnH6VXimRBWGC5bm6r/mLJSkqpJ0P8t3/vm1DmHWXtczNpSzLjNMMll1+Xw+lyuWDDFy3WmpZa3W
+mmTAIsKKtZNc8kqEnQslvVWf36w1f8BVdXmUUp0WBIEuWgxYSEtWipWZ9TqT8PrMZptNZwV/s9n8
+nmhJeO14TdfrkRxlwAJaXCmf5/iqblJ75tb/9xLc6+U//lj4+kd4U2QzkgELdH48rSR9phYis/mp
+2Wbes+15uNcz2L59+xYuO8QIZcBqrMi7ngCC2tvTu5/Wpnlh+esMRYXD4ZmFxre8mKEeOiy1LDBe
+s9lkankqrU3uJbnNP4ATmtbQUKUSzghO9YHDomjFC6jAqvY6WdkmD0Liytcwkvq28LXijOQatHCX
+6zT/N8DKpLx+hNU2q0nSxqdD9DLAAqtaaNTqqbRStGYilQcNa3MuoQ2DYFodqBBW8DX1NfxNkhYa
+UjF9enpqz1mF8EOGJYm8b8/c9lcEE2lj06HXy43w0BAEO2Xx9NR9esrmcneo5f/hsNSGtB5M+Lxe
+b8zXNiuN1fjH6SBohQqwasFKRSwZy8O1rHB8NxoKQmM83r3JSRtBNUbaxyeh+nLjG8D6qyFF3EjL
+XV+mrQ8WVi2iKKzw+s2b10I8GHI9HW+zGvv4H7Px7cYQgdWwcmSTB5GqbzxQWKCwlPr6zLc/sC2/
+KTHT4xqrj6R9meY2vqrYDXHJWApsqxAZEjLqw4RVZuWt5ZmZMPlvZmZFAFpjLVYfHz3mX880JIT1
+V6NS5AppsSYJd1f9/Y+GVRPjr799/RbGcAaE+h9fV2hm+guyeoTt46MXDLsJTkvLxldyRYelEa4X
+ww8RVqWovPn6B0R+GCjPfJsJf2ssC2+ffBzTWAGsj48VekGShhbakxfL9YdpWU5WWPmKqFCjD30b
+Wlj4z6/L9cdNwwJaH8f40vZXdQacvDQEzIZm/qiJjofos1Rats58owBVBVAM4QIy0JvW9y86YH18
+zMRnGkALnvENzG/m23Y8/wBHQ7Usxme+QeQHQgonJCSrIAobla+/Tv/WAWtsNiWsNP6TdNSZMLWw
+7BAfos5SraLwbYiEySjRVacM0oBzDDnnXoCz0nshai27sLwApGDADFNfN9g73HrsHwwrX389NBTW
+WTWoEm4w5rZbt4XpL8AJzQpgjY+9S0bjm18bQ2GKmvm6LMh3uAHLPxiWo+74A2ERzalupkjw5xa2
+M6EXjzSdBYoLNOrnJCML6xTI1pl1Nu68wzz8PxzWH+GKDstqB1JAS97cZJ4QTmOEFcSKk9MJpUS/
+2dqKsIU7raH8B8NyivQfCzNDGqz/V+MILHdpezv4ePyjjgpYTWIuIpbgU5zCJ6LPZh4mrE2x/k2H
+hWktOk12QxS+rcSn/2NMz9LorGzmPVfM6636PXPgsh6gzlLDYolaAOEwpInzmgCRcprNNrbZ2c9j
+XazIzKvJ7zeZvXM5qfEgA2lazs2gaS1osQzlYEu0ZaGxHZ99OqZnlm26XWnzriaTdy5TeZiwnHGh
+1gAPv6BvKqKCNl2Y+bpZmn063sUK0/Mmk+nYZHoeev1wYHXV8oUjKWdjSHfxBNdXiP6+rnPJp5Pt
+CTFtJgNY+f3HACvy9/k3ar63+n/YshpZGTMwEPgtQMAD4WHlG8j0b1vKtG2yk5VuWCY/Whb9YGZ3
+1Eq4U1NW8pwY/mOIJB0QFrAKLywLvGuy06xaqI7RsmjUDqoEer5cW8lac6RZs5ZymQpX1P9LsChH
+JNeZjZIETvgGPRGj6QqGyhTV2JATB5Mw/pltekEN0EJYx8cEVkRq/FWp5YoCK3O4DbPeFK4k0s5a
+5f8OLCsbDAbjjo6OVBM4MdtoLMxgqpSiqKGvM2LUZbZ1FB1pqPzHOizRERHjsnxI2st+0l4evsR/
+lQ65eN2RDf8vgXV5NbaF5T3eBB8UtjtpyWy+NvQVXDu0hT9WhFSCECK/sKzGZGraFcCq8kr8cGlp
+6bC//2RkYmdgYAfbhw87AyMnJ/34E7KFfPifCwsrZCthypK1gguxblrK4UqPilm1zDJJ20Eg4Imy
+HSsFJAfH0esUuK1v0LbrdpzL32saFRkGibvSYHmjSy9PTgiiP/cXBydGRgZGBkYHF0cJtg/7Oyf9
+S0sK67jZhvv3AStsddBiiVPAhdjt6D5kls6fy6RQkVTStueJ2fZifOeKfKnmEOW4kFlf33otKlGP
+j/AxNU2KDIJNVibPUv8A2NPAwMjI/uDo4OAO0JpYnNhfnBg4GtlZ/OUD/AAsTOHo8k+CRTnqwpvt
+oV4/kixbtCgruHn7brTZ0rvpdEqJ0xudX3jhtQLyaTrqAX8US8hbeoW7BGNaLkJQy0GGT3jXCKu9
+1h/+tl0BrMTSyc4OYTUwuD8yurg/cjQwujiwszi4czQyALa2MwI/P3l5yN0kSfgDYEkZJcoH68Xc
+SvcuRRKV3aJZJV1w70b5hCeZnJ6ePoDf00kmEd11uwuKmFlp9TennHg6fpAoJNBxu3iI8SRqe8NB
+10VWrItxzGbxnudrOh7NoPB3GxU2fmkEQY3A78X9o4HBQQ3W0ejixBF2yEVkBj1y5HBJETYr9w9r
+ReR9gWQiCq6gc11zOBcp2d2FKO+Jufb2OiqFsD09gBcUIC7eaJa4l/jPk5OuVCroguHNHEiUrPD6
+VLqgG+Ru4XQ34dM7HOFFfnWCwmiHPwRXjqzAsgYHjvYX4e8Tv+wcTRBYI/A/ghJs7+hwSb5uovAH
+wHoT9OyZ/T6XN8TI4oaeT8oCqTQYlNcVaJZVdeOyfQZeu+50nMwvUCLOzB+ESm+eEdMye+zg33jG
+k4zFXNBisWSCj0Y9x2bdkNqOqgPWMbisHWJW6LMWR0cQFoDa32nBGh0gP4QBcqRv6TvbBN0BLElk
+fFrM7/ImmLn6m1qjsi7Iqd1owuPy68V65nOwML9yEOPdbuU11RgqKsBq0sM5FxxMFZyWJ7q7yydi
+vr12WLPn8vC7fPXY1AOTbli+KLgsoDExCkx29hfBxyM5cPT7+wNHYE07g/s7CA0fGvzzZEnZuF9Y
+qkVOmE3+ACnOM1U9vFLPR2ToPR69FBtH+A7D0tNQWvHQ5NNk9NQulDeCs5/HJl28KDVWhJDLG3Xv
+JmJ7trMtED2Ft0UT6glrzRMFw0JMg4OjSGZxdGdiAtiMDu4TewNIaFk4QO6P/vJh58RevF9YM5mg
+x2wOeD1+QAZ4TJ5d8OgJYgEmk1bg342qmbbTArwD3l2Il0Lvxsef8nHcncDC7RZ2E67uwmQ9xZeM
+wljhWetpXCazd/fwBLTCyMTgwAQRDQMDo7+QYRAe3BnQeif8cTSwDz8eHJzoXyr+v3uFVWZDcGEB
+D7hfrGX0E6vw+poiqF1h3IlKw6UxOPC43fbpsY+fkwou51UddjcfC5DY74xhmUGGQedM8B6f6Swv
+k/kYBOkJkVgIa3+CuPmJRXBWWlfcR98+AJYGlrU/ONI/P/ihX3Hcr2VZU0lg5AtxXBJoxfhdQEVu
+vd9PqrHN3axaszKtnPDkHtACy5olW2OFXyvRhM+GnbfLzTU7IpAC3YEi4tjU2Y6fe6JLfcR8RnYG
+J0YR1gBRpE23BQr1aODDInTIo5NXi6svhz8BrPy9wvq7GI0Bj+pbxxab8CWj7qj3WLvpfq0X7rXK
+ZtuompNYTQZJt3t2OoRbFkhF+65XD5E7C0i1p8JDPh5ogSlG4ZZ0GNaal08T50480wT4LNINQWWh
+u0LHPjDxy+gIdstXJxBaf5rvX0XLyt0jLLWxCYjgyrxB61A2vutG/9sMarVO2LIQnZU+OTrWSWAv
+4S7ssitqQ8oDK39rzVdHz23Tgvtx2AcxcRSFifc5NK8HVMVS/xH6dvTgQGtCc1kjRyMY8hxNACwc
+CU9O5qdW+1/2v1yd+jS1P3B4zU2AbmtZDiWGddeeOarxJlXgm2alKUdTq3adXHa7ZO9jk5buuAP8
+aQF3LMgpux7tReaOFzXtEB6xQZi91A/e+qjv5eHSEq+3pcOXED1r4yDx6AOaOwdaoyAfwLIGj472
+B1dfvhyemu/HxM3w/PyfJ4fXXMZ/S1hSPRrAWZVQvebgoh4M3NqyBw0rsPe0Byudlt4PQSO4ooV6
+pVFj3TiomloV7+2Oq/daV2IJRryBnYkPf+5ARPxST1v1YcSHkc3g6Ogv6KS0hhY2MfoB7Gti8dXJ
+/tTwy5f981MI6+XLvqOd/iUHdZ+wsnM8sDKvvRWL9mi1K7WkGVZg74xhNUuFuk1rL+m2O6WInV8z
+ayNom1Un2mSUZBWgW4GOIomYgYEPg/t/Ek2gOagjkmoYIfQm9qHrDQycnPT3TU1NDRKbmv/UB6gw
+3bVzqFyzZP6WsCJBXK5lrgZTKSKtqh6vT9PYfpJG8fs6YX3srNnrgAW9zs8X4rnSblXzdHovbPLV
+3dzTROrwRBNME4OaEkcg+0RyTqB2gt/Q21AjTPyC/xyd2J/vI8nSPmCFf4N+2Nc3vNoPXfnosBS+
+3rTZ7WANxZmAGRyyJ+3m8Tq9fJTndZWFE8R7flegCWtsrF3f+KhlLK0JmuRugbMn9FRV27Bapvhx
+bJrBLthSB5pTOvowuDMKMQywIiHgKHFSOOz9MnGy/2p4db4PXdTL/lev+l5i6xvuA3+1OjKwc8jl
+1XuEpWY5D+YI/LybX0Nz4qMejHc1pYXKIeDauwossCXw8afR5yTr0nTvBFbzBV+AVf/AnyPaKDcA
+OgpiFzLQoQIdGV3cwaAG+qBuWa8Wp/pXV4eH54dJIr7vBDtfH3bBPmC1D45sib1uddKtYIUdjBcN
+q7obdZlAMsSiGCZWPTCqx0xmDVbA1ul+LrYss8d9mjgmsDSR1Q1rbDYFOmpfk+EopUCM/7I4AbD2
+R6G3gSYfwBzDxOAiqNCTkZG+/k9T/X3zU/OrfcSfn2AfXP2EbmsYHtvfPzqUr72J561grYgQ6vjN
+Pn7Xi5PnxwkeBaUpAOF0NFFFaRm4WjfcA/OM7ZJ38Td9VhesL6GlwyMiDHRa8CemFcCpQwccRVA7
+mOEbeTX6qq+/DwfKqU/DYESrxFH1zb9C57766ROimx8dWdzvt19/QfWtYK1zST9xNx5UoqYqBCrg
+qnDHhVgiykP4Yw5UA70c/MduB2/GvlyNRqtmMjB0WRZ5xYtZZDWxOIG5qSNt7ANmg4MjTQUKcQzR
+B/194JVejYB/AjOCLteHEnR4fmoKe2Pf/CdUD68G9wf7lm6wZdltYKmOKCYHwLB8RC94d5Mmk6/q
+Qm+FUWLCteev+p5+TzroC8WTmAnV5Zm528O/YDCpB3Ee5j5JfniARDZgTrqiOhrYX3w1OoUuCYK+
+QXRQw1NT+C/0WFNTq5+Q1su+TzAOnkCffbV0k9rT28BapnlM+yX5hGZYCb5qNkHwFsBkjSkAQ6PH
+5WsKrfFuVdopSrWJZU/Be2zqsKyWo3v0hNESoJjuxMAY8wqYTMB+RxKfJycnfcMQwKwScd43P0jA
+TGGfQ1p9YGOg3Mlj830gPnZOluTcDebCbgNrfY7sH5CIkqs89kThnyZX1I3JGtAN0BdBR7hsnap0
+rIWqixUKrcRulbxNezG0blrAqm8ATWgHxPkOySJMDBKd/gG1KYAinQ00gTb93PdqkIyAw8PEmw8T
+WP3EzkCSThztgHSX8/c9bxhhiBNP8D6Sp+RBwpvBvnajCZdWh+dPgqN3dQfS7filDQtNqdWZtczO
+XgsWsAJ1RbIJ4MpHwcMPYI8E33X0amICR7m+1SlNlWutb2BqVRdX4NlrU9gN+15imIMcPwwc9S+V
+cje64NvAEnk0LD/Pr2kzK7wfE6UJj4fMw/gRl88T5UmB0NkUzXhXJ9zD2a/omqmZrehw8QfA6s8d
+fZph/xfMvQwMHIFWfwWc+k6Q0vCnZeyAq1qMDJY2j0oULQ0e/ASgVqGDAiwYJQcm/jw6TLE3PL3n
+5rDUZYwLUU3yeJUm6IXAyp9IeJ8DIfD8mP0zBWBcDB1MNtfrjp/L/eljoTkW5dc682AQX09Pzx5M
+M4d9H1Cca1NYE1i/cARd79XU6ktNaqJ+QkyrlplPaDwnaGoY1mg+bB49+/wn8PHorf4c6F/iIjc9
+6ejmsKQ3mHyHq9xFkzAd8wAI4CQS1bXnHh5VKbEtk8vDJ6afdmfgz7BCQ0pGE2umdrrCHEiCjSYT
+PNgVmYjX1RUBBVIKHTZYj+algEgf6INPFjCokxNNWU3Nz6PSIp4deuPUK0Q10n+4xGZuXEZzc1gV
+kXHBVfo9hSgm/J6jejeZXLxnbe35WpXnvX69K0LEyCcPWjNg7ekdks3T6vZwmPAct+cEzYjYE8O0
+OvS4iaPRX3Dcm5gYAF/et/oJrGp+vh+jFw3W8Ccc/+ZXUBhAjINdcxEkaQ05oWcHEwQRi1UOnHCL
+HWFvDoviPDhsBRgl6D02r3miSTNcZTXqNa09f77m4+EvaFu652IOxie7W3PKZq8Jy9ua4oJXJPiY
+3+z3Mn07ECqPomLArgcjP5rJigbq5NWwxoqMdyhDp076ViHEAVrDCBT91cv5T30niGrnBKfss+pf
+PwGWuql40LBi8muaPzZXQSRA5APiygtWBoE0XC6REEgLNReTtI2fQ6VXhKKX6oLlT/BJFLbAagd0
+wn7/CdgHxMWr80RKTU0RraBjwaEPnRYA+nSCwfPqPMICfMRfvRrFxBd2wBKdu925bDeGFS4yMZvf
+7AqJ4Q2+aortevwYJqIwPUbTMvmgI1VNza5YTSRIVyTj4pmKUPMZWGCfPA4W1dDLkR3wUfOLIA5A
+KkGgN4y2QkY36HtTq6voq9DVg6ZCnfDLMMAiT+rHAGfqE3oqINV3eJjiaOdt94u6MaxtDKL3zF4l
+31hhQ1UPBHYmPxoYqCWAhfUu4KuqfpPeFQMePnTQUWWsO6w9zbBMZk800YLl86BSWwsdjqC7Rish
+NrI6PzxMFBVJpPcNz/f1T2nB8ktNVs2DhBieXx0muQUIcAYHcYL6hMxu7P6AQzdvDGtdTgbINnLl
+hmoNRrHPQQwd0+wDaYGBuMBr+806LgyHOmuybeaOUmOTiXfzx+1iR4wFqiFSEwoeHeAMvySJKOiG
+ZKj7RJKfw/AfaAY9ZQVUl+eJ3FrtAze1/8svix+O+g8Pl9IQWgQ8SmTop8HKKC6wihgjSFjKmIqC
+j/GjfVRJEt73nMyC4piGma2miEjEDjqsqlVpjCR3T3eresnxsYdHbxeYfhtaWjo8RExaW8WMC8Z7
+6JXwz3ni8FeHNfmOmZi+vlejH7RytpPhw8PDOZaO1O0J3PFOufUeBjeFJUVIEJ0obaPLrMTJJI85
+kNBl+PFzQst8DFG2N9DMMvs8HlcLVLvSGDqhj0+nFL3+Cv2biUjaNRQdDNOuO0bhgJEgqHP82zwo
+Tfjf8DBBeYJt5OQIpRhoisPDoFyqF3OUWqGDngNbIHFrWjeFVasnAjazLyqQkZFiE2SbuRgJfcgU
+sUYLRUDU08zJg6m59lobQra6oB9syS07HXbMlGKtI+bzwRghuPa4QIh4PYkEb19CZijasWl/6u3o
+pDn3pVMCjcDJYsRZq2g6oULbQwGbP6Hccm/0m8LafAam7U8Gt4iZbaGYx4kLLEJY66IFAQ/Pk0It
+UpMUCLSMao+Mg8jQ7N0tFCtUHSIerFbjE9oYaoqBDtFw+r27Hq8nxEADaEtL2nSh3g716UN4nOF5
+e+l9MZPL1jqPxS1HUnBvwbYcQz8D1pu5pNnm4ullIiNQzKNlJfjnKBs6aCGuKgbX3ipu8ev1ulpV
+7E2zAii7BTwHcoXmIFgCP5ckatbsSpApV2xr6AzXfM+r3oQ9bU/ziVCIaf6CFgqFEnzUvbsLop8X
+N6lzBkRFuEQVaHGYS6bWNzbWV+4RFo21n8mUluqogT7V0iwJAqmL1jFmtqAjATCPJ5HUd9Dca5Vl
+m/zASjuKTnKyHOPB6m20K38iCoDXfL7/+Z//iTG8T5/XiKYSHq8P35w0r/YndFVeUVJVs7n6TDud
+4Mz6hDccE9vzJ5jItvX9s7m5Z+9z19/y+4awpDrjt1WZun6NKa9tz2/ei0UVF1b/PPe1aGHYiFR8
+6Hg8yaq/6dObZewQOu6642Xtwr6GsxE57SXZMFMsupvwMKEgbs6NJTqEIIwRVVIzR36btO04MeWv
+iEWLlWViOOhQPSMONupBXcgpjNflqnqCxfuasJDAo9sSnBWXTDZWBMZl28OYes7x72dwq9egNeVW
+dU23IV/VWw3gBJnOiXglM4RF7rTY3vFd3RZ4F8ES4OX3v//+b6sV3M/MtkBgQfMFOqqySIooluAZ
+8dfcShjnMXmT+XlI6DXTrFqKQd7rc8XwW6AySf469N/3Amu5lADD0s84W+eSQGoPeuH7Icn5/lnM
+6/Vqzv14raqbGcHl8vlN7SJ2gsrDp5WuOantetKP8tbnKW0NDX1tTroJIFP9Ol9N5Wqon4PXL4mv
+N3XHLcCQYI4pzp5+fGiLDSZj1TW/PwD+05d4dt05i5vBojJB755WAgq37HWUeG1zNfU7jtMbAhvy
+JL1VDdfz6nPfmoYLjEuzM79ubGveRDQtbzQksj9WpYLr7IuMizzBm8o3fQo8ul33BHRG/iYqfL8k
+r8Tpzebe+jiTGfVBZHXRsWxhBxsMJbHBkDBHZ+8D1kxRCQViqaL2WZTAH2A47E9y66SLVmo5ek7h
+E9qCCBPpk2SS6xi8dUDvPzhzzbvTcdqZexOJ0DQdee1wZqmwwJOiIy9DU62+pDYsgmfN3EEKHRWE
+T6k4nStXpM7ZuVQSZ32F3hjUISpXpAWafu/I//v6G+7fBJalriQD3mgzjbY+h9HEHiYgmuPx0HI2
+D2NOMKEV563pBaC6TzYd+0BN8Lu7KVaMx589C8JtDsKvueAcG4eBFZ5X5dmuev7l9xgtNv2Uye/C
+pXZzQmZzWT07Sc5XbSbP3NZFX36BWrGsrCxTM0ML9yAdhjZFxgNBYXylVXYUQzVg8zKdyyobCysb
+tBiXg0wIJIMXdxqtVvF/Xg8K8qjdzrFFayQIPZasoXBVY2T8x2l/iMDj690f+nvCZdJSr2u+aiwZ
+CpbYyPrfPWzHmvJgiiN+F2eBXBuWlCvBvYtFSytNTyEyATL15+HOO0zJksfVc7jwFhfgYCuk7XZc
+E5YHPQvK32YjtYHN4JrEkK7EuZOcsnMxdMqA1MMwEMtkLsoOg0AG3F6mSP0DYL3mmAObK8puN1mV
+4wmSbvEz8R6rrNQKtbxi2dzIFCO0AropEU3V806rhQQjap0J2KZ5XDIWq/oCfn1JRpXnnJVz1H8F
+KRkENSm8/91ao2Yu8s1qToY41Z+8iwPFrgkLwgYI4F283Ool0gZYB46FsWDkEsuXKuHXXMK352Ei
+Ky2/vVJKTNo8KRYxBENMQpf5/NxWD9e7bN1wOp3r69vLle/oZd6FaYz6yk+GtRJRPHuTBzzXrhSg
+6JBrEmEl5i4ficMsRv6h1gmsKgyqwdikGZzfyubWa1xYWJI5jmMUdv1Wl7ReSmDqkKGlnwqrLKRi
+TycD0Eva36MWf3uAvTAQrV+es3ekvBiKdBAts6GDyQNGy/eqC1KlEi5brLnNW2adVLKOwQQfJf1E
+WNsQetkmoQ9udXSETSWJxdkgm19f+mIrB/cb/G7HBWTxpdB7u3vRbQ9nUhubMsRGZggwwj8N1tAG
+sJqcjPFdFShqPjU9CbACCTZ7WTXrshB12aq8sNyBJZOKgcua++EbR1fymAUxJ5V85SfBqjjneNc4
+jF2dSzjURplmDiZh7I9FHUOXwFJhYDAHmNJ2x0stdeZgfI8Xf/yhoZU44zPZILq0/iRYb7jE03Fb
+Msp2iim1YWWTB5MgHS4fqtWyzO+ZE0rXGatOOfl08iBK//gdnNSNIC4edkXphZ8BKwxS+2D8qScl
+njltMMNNo56EUKfXcuMFPab4W+RjNo/SPT5Fogc223TqzY/XQ42ZOuYK9zzcm58AawVYPR0/8Cj1
+s0giPBqWzRM8f4xxOOv8tfhrfpNCx5Y0u/g6deaCwLBCc9a72BwM5IPPZg7wpbJ6t7BULSdLtlkn
+/1QpQZmeHD/glcjZj16o8zhvusecO99YpfJsEPPjbJFajycOAol495HjEH/bxl1MZPkuYElEqEC4
+KlB3bll/gVze2ljf1j6pxuI+ArGonD83FlvYxDjAmmbos+o9S7998V/v3n1+8paRFf5gz5M6Y3sQ
+f09OJlP/vptN5yxxnEPxe1IbdwtreSNC089IqwuRjZV1NjQ9/i7Jsxvn8o/q1tzs+OTk0wR77qB6
+mpn9Dau4x55OMww6rDOnBy2wOIx6lDs6QFsFXWfG+Sd2+w5hhbO/Pns7O/v4yZMnj6dnGWaOnmMO
+xj4nU2KPWEulg0/Gx20H9vNDWvHZ48dPPn9+Nz4+/nTvaYwXu+1KrcmeyUlXqH5nu84JSsxsA09J
+D90ZrLBjbvbFo9ZKrLF3T0Khz2MHTCrS66oWxNBncGYhOddrfoDlGGb24KltfNIVZVfOyjYuCb0w
+uHVnxwLUSH3wXqKUU+8K1u9vX/zWIoWlsu/AOKb5Uu+z8KR4CA9WihZ7bNKrUitWh/AsyCSSHr5k
+PfONIf6eHrclSrU72ydTzXPJAJpWPHw3sKR/n/zWYVZYVTz28fMsw17gJsvy7BiYnXyR45FWNiL1
+uTkmfpZVI1t6C8KBqd/hmXFUUfHazLZkKjJzJ7Aq9cePOmGRHcRDqYtSQ5SDmf74zsPlL9uid2h5
+6815J7sBYgSC6DeNO2wUjIg2rDX6UYcenoFFvz2cffz4BbR3envCK5kLzgz8y5EKfcFzES5Phag9
+EgkLRQg0nzLPNu8SlrQpayOiuH0XsNRa7l+/vgfN8BZa8G0IGnTByoXB8eyXj9PRm6QkVzCIPuAj
+d7khOc5oMp69SXMsJfz3HcAi4+H2xps3r1+D1hIEoV4Xti90CRwoqcfMjYTMVjBpm5xWNhp326gI
+H3tqsyW4LfVuYHX3tL8udp+p2Y+PvjDKjVLdtAKGFfqRgvECCxYZ16QNhqAfcrLFzcskU9O/PfqN
+UbZukrtVRf7peKx7nvFuOqKllDjAjKVQ/gEh6A1hDUWwD/42q9DXF5UquYTJyTsLdbqH3VJib/wp
+6AfpZ1kW5VBmHwErsovT9WFJkeD0+AEjqvcAq/GGw0wc/yPc1o1gVRzorx7Nnk9vXfH1cfj+yeDG
+vcBS87gBKtDC0y1U9Ta7wt8EFtEMwIoRb5haq3GhyUmeDd8LrEY4z3ls49OMvLUeqYuiEFkfuj9Y
+0usg+qvppZtqPcmpYC+MNO6pqa+Doc+g6mSc9w6G5uaEN8v3BAtuFPbBaUW86fz4isA8mQyxm417
+a+C3gFbIM33w+fPBk1k+SFuke4GVVzCrN7sk3jhhsFlKQgz9nro/WGpOZqbHmof1jL17zNzoVl0b
+lkPW/dXNj2vOKAeg3nN/3R+shmStM7OfW8vZvzwJtcqA7g6WtBUEVrfwVyRa5z8fvBVrjXttK/Tc
+2+knB5i6xY01XswKljuGJeU40geV21zq5rPE+HTQWblfWA3VWueYt28fP/n87t2XsUcvQv+640UD
+69zbF8AKgodbjPpvQJGG5HvSDZ13OlzL/f6+TmrBQm9ng79X7hTWRikEfXCWEW5TJ/aXyHx+EqQb
+P6P9FV7ZXN9w5jO///rrv64/QPVdx441VsolE5dqhcIWvnhgVsuyZzzxbLvxk9vXOx0N/8rKoRcY
+D0YobcKaTFmfuTuVXESgaaF4UREgHrsenH7H358gvaidfZZ+PbfKZ/29vLKy/De6wvU4+KvfQsGt
+cNkZqbPxUiku1iPOTnWnNmp1eyGdLqQuPoSKir89mL5lIeTVW7ko1IXzrV6PdE6hlJ20yJZKLB5j
+pN4I1sLKeub9e+H9M+EZTRedb1iwqy8wDjqEUspewBM/3e6CPSWL7cMY1IaldEqObBQtFxXwbSrT
+T5jfw/cEy8qlC71+FexCWDcjNUuX8KAN+NYFuyLXL9nQoO8iT1j79/tnb0OhWdKY0FwQ7YpJy3j0
+Rnezs5kWLguLZ/KeFtjNs+dyqUOVcLiiLtPMk2n5ChIHj/sKV26bhNqwn/Zu7rh2O1UqT44Rbv9A
+EazhC7rjBbCoYvzt7JMvv/2mn0H85cXjL49eMIVCwd3jg9PxDVWrvmnBsp4ZlyuWXN7hyFsi3PT0
+28gVDKuSK9KR/G3zm7mUuzcs+IZEvFCC/ewz3EqR6k2rN6yt+tvHL76Qs621A661nffcpxd9tBLR
+Vg31hvX3mzo7N/f27du5OYV5F5pbvgKBnGJP27mcKt0NLHeJwKKEdI+fpRySdFVYVP7Z7AsN0Rj+
+p+1r+Jg/vaSltD2de8H6O0eTUpPHWGkyO/0keJWhUKLxfdLFyg+C5T7TCnFrGGcS2t3U3caaFqie
+LrdXMZsz+Pi3Rx/PtE5W8FnptN1uTxdan5CmyTG7PWCF8yXm8W/NTSQ/vmOuNM9IicQdRso/BlYh
+pXS1FCdkK+DblWbXSMMTcNwi/+IytataVvb9449NVq1NWB/zbfBuu8zW6UiEFsRSqqA9JDtrUk9Y
+oKsQlV4NMDb+5GqT6VkyrNrpHwPLnRIcma6Wx9WGYVo3LHtJiDgyjoio4NPTorV8RViV90+QjmYJ
+v3158eLJ48cwHrb8oBve2eG0Wiy1mmUz56hz2OtTtJXsD3Ae1sYz3aw0/tNM5EqywalosG55fGMT
+luKwlKmuhsdoWjgdpejApVflcjYjKul0qWipXM3Bq9T7x//15MkLLGaDNv0YZ/LnSvFW51fq+WyZ
+qugCXirn6ko6Vc9pV3UOVoV+rFea/PblHYAPXXHZQ9H+I7shwCpL5zX8hubd02zeQlWIfpdqGRHI
+UVeVDtK/6iILkXlQllHU1unXGacl2xw13FwkZ6FapR4YJoCgLzotWhbhLCx18z2WxoGBon2+DaXq
+NfWikKTrMbqgw1Kv9vzzT+iCxfUqblEdmg+x692CXE64bLFQ0pV1VqVcrq1YsK3UauVltNhGtmlY
+KSFn6c6uqBJVs9T00LkblqqG//VsFiCBZnj2jH7/6+//smhrxzvfgcpu5PPOMyuWRXfTss5xoUCy
+5Z257ueruCidfCb8ruXg/cLfhVWJaBelFDtiNlXChe23CaQl/W3B9zlr5/tzu6ioGxblYLnUbsEu
+vtnC2ucV6u+yg8UmtNb5UDk6jgfNKlypXiTLuNSKZSMf0eKEgkwiu0h7ZQY8n5XxYFqlVHdkdSlM
+gkB4W7GIOUkMX+D96laAfCVYqaseddjX04rPWvSmrI+wssNyadauG1ZWe1m62BGraYZf1MSmlBWU
+QnuQLYFnaUhWUUml2/II5Em6WakAz+c6nh/PU82zArQ3tjvUyoYevhRo0GiXwpIc+jNFq3pjWD2m
+GJpDbH2DutTj9oZVbzmBHKerMm0Los3uuOzULlrhfp+L59xsVruBTq77+Sm63AXLLdSczdg1XS9/
+B1bDqTtiu1D+gbBo/XYqjuzlQ3lPWAXR0nxVE5aAnVmtlQpn4yZaqtCFc/FBKYe0VYdyNnhJ12sa
+Ld1kWbr1FCI7LodlbYEtZSw/CpYk6ndYzn1nKL8IVri7G8I1AixK0BUtiQbczUAjr7hbgQEJTU7d
+cYQlNY2m4/lgo9rQYtU+SmnnRFLFWodl9Twksyy27kuqRDst1A+ApZbj+n0XNyn1h8ASUJbpN7ag
+sAJN12UINkDxUFKNBo/v1t0SCU44YRNg6a89TXOsEKFF3dkpTvKV9B8Sylr0J2c6uqHiqIVbjQrr
+XqGFX5OmZPsR9bawLHLTZVnCPwpWGPxrQbsQOm/NWrK5IsvFi6AHpXLWWbfrcrHoaMYmkuDW02dF
+jB8sTragvzleepNkk7DdLuaoFiwELLYaW8/r2yBVxEJXxJticTxVbwVrk+twAz8MFqVdPURioNKg
+UZtOZ1Y7DbuSSekfmNWilErLsAqlzGYZ4wdpUyavTznDjU5YdhnivGIk4sSYpQkLQHQ0e3PAaGTZ
+bgdZsMtF6naW1fwmqa7oQ8pF4DuRVqSLQEe9HqyyqMNqDhr/LVVIBwHX70y17o4u11UthnBzRUtz
+QNaIpulaJyw7m8nWqDBFldGZXZDPAhemm88mezb5Z69fuGr/5rDUstzOEiEQ1GfXglXX/Yk+UnXE
+MNJGE1brA6mS/l65sLZFnYpfQPusDlhpYAWGh5M16oXJPzeXD+sfKdUi3Jnsr5u1Xi+t3A0r2xOW
+hevKPOaka8Kq0LomVIRmJNkyWudZWKpF0e97rpU+qJW0IXqj7bPcSjHXea79RbBaSkKtlHN0M9HU
+7IsQwKq3d/D0xbDkfPhasKDXNjUhuF8x4uzUhectS3LadbIlttXsupl0eDQ211V51fZZXWlSOU+1
+9+aSyrliXVY6uqM9UpFuDItim5Fhh4M/Awtv1vVgNcod3hXPdm9J1x6WFS5eOE+jONqw0vVsl7hp
+Soe0IrcbVxKsHaM6STRZM532JVsqNxalat3dnD8Kn0fYhHVNy4JbauW61Ljcqos/a1lqIxxJXw1W
+t7hp6Sw672y3nLV7VAcPF6ZqWYfY/AwlT9083InozLlcR+pOzdXjpZLcCiiuDashZbpiPbe9XlZ7
+WtalsOT8FWAVuzKlPSYkValStgjNGLj3mSBXg9VUuvauZAYYb87qFNM3hoW7uCppd2eoZyGutYdl
+FdM9Zx4URSbDw3dgcZnuTGnvlGFzgCbf48awLHqPK3SuslQx46ZS9M1hoXPNiJy9PXanI2R+87zP
+qmiJD3eqfmbmIeMkfeq7sKgrZWH0MSfdW2tdDZZa1C/H7ujcLxVvUThiv7llgWulLM6IKNtbs+pE
+4/QYDTd0WJFsrdzdSLbnB8HK2W8NS21kdefiPnfy8q1g6Uon64zE080kEE4GX6yz0rRF6lU+dFNY
+Zx7NpfUE121gVZojN+bhunOzxYth6TU1BbYFa/M8LC2BbqnrXzOCUUrbslrDVjjuvkxe3wiWuhmh
+c1RX+rygp3csN++GoIlKrYoKUiDT+sbhS2DVWL1vNTdbU3Nnu6GeLpb0WVWARXVZVq01j6T5xtOu
+OoR28v8msFS8mVxEU/zkt54VO+XytdvAUlupnwLXNSRaNWXZE1alrn92c2eVsG4/TVgWfdBRcTs8
+/Z5SHZaV7th2Wefsjnfss2QV6GxXpvRasMKYk3Wn4y1RpX97HMfKt4HVkRp32+N03kompDccgpy+
+WGc1x4U0S7Y/ppys/bRTlDpKspDT+5kjpZcZEFg5penvw5YM5mDAEwjNK9HLzaicwKXTrOZdbgBL
+ddj1rB8byedyuQwtF5oxcDas3gYWVjK1g5OUjLGZ3BZJPWE1rM3cG+uw5pyC0i5TAV9E+pqbo3PA
+PZtpxXZ4VVIzdE/HBdYO1tYgA567OSeeyVlzmTr5dwFo3Ww0lNiO6+G4dnBYYDfKN44N9Vaj7ReX
+HPUMpEHkFVpZtQ41pWUdLLrLBvBxPUfs1u+pGu4KpRQnZjQkR8u2C/aUvfnOaZKtuwGsSt19waUU
+exvWdWBJtYjivohVSsj1gCVZlTPPK7hbluVsX3uTo1106pK9K7qBIRBNq9zrbmEZg9qasLhEwZ/v
+hpa6vWf+hr5oWubqsODDwpl4z/xQwS4LTgumSnVrAQ+puSKp2FWCWpC1rlSoo2WJZ5KUbjubb97T
+pq/V1VeGjFhU5OzHu9N4aWp7jk3shtWsKVUyvdaElCNc+lzJpxzJXbT3+XVWWEBPsBRL9kJHjRyZ
+Mk6VhEy2HNZqSgtYSon6otGkVWjNLtvjGdqOU1ukG+J37XgveB/R2U6wWOWO17FODUElD+OJu2uG
+wbFJBtacTObPelgWaT0dPFkspnS84WnzDRu3hwWyqEJZi+1gzk2KocVIJmfRD7kp0wrO6aXqel5d
+xd3siDm40fwyWauAT1AiOOckwXgmayzdhTTHRpyW9nx3xVrXX0fuRViTZZQ1Etfpu9N2TozkN/W7
+JKbs+MZnplQsIu4YbldYZ09RCmLYScf1YgH4hvAd4A0vnA677npDMlFVFESyaAALksiBQFSz8ESy
+5MmpAc72dw5vOgR4NivSGauFgm9XpOmi7hUqlpxDEONxeCeh6Nwsdy5jqVgcdRZ/Ql7XFJ+VMr4C
+H2frtGMjW9PnACvZDH5w8Yy7qcCXLUYixfwFLhuGEvgKNH6Q9h2ytUuW0lx7caaq1TBlrdA2s5Za
+GZNDnaFLmAS37Y/EPKRlE56LZyxJ+hN0Q1TRVsl7ZS3l1txnO99rseqvUztSHa1X1Lpeon/wWbOQ
+wpevJoKvV6FqWe1qzn6H28LSCtgqlYo2u1s5s4URWQMjdT2ID1XIU/VEQ+fPyQ8rPd5I/xztdWrj
+/NuFz9yk5gerje98nx4FU/r1VL6zsfNNt1e5NI12wbMvCPYvzcf1/ol6yw0aLrqaH1JFYzQDlgHL
+gGXAMmAZsIxmwDJgGbAMWAYsA5bRDFgGLAOWAcuAZcAymgHLgGXAMmAZsAxYRjNgGbAMWAYsA5YB
+y2gGLAOWAcuAZcAyYBnNgGXAMmAZsAxYBiyjGbAMWAYsA5YBy4BlNAOWAcuAZcAyYBmwjGbAum77
+/wIMAMjwwmKcHMt1AAAAAElFTkSuQmCC
+"
+ id="image13664"
+ x="181.2438"
+ y="110.06655"
+ style="stroke-width:1.37973" />
+ <image
+ width="30.972841"
+ height="42.781239"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAKAAAADdCAYAAAA8c3kyAAAABHNCSVQICAgIfAhkiAAAAAlwSFlz
+AAAN1wAADdcBQiibeAAAABl0RVh0U29mdHdhcmUAd3d3Lmlua3NjYXBlLm9yZ5vuPBoAACAASURB
+VHic7Z17eFTVuf+/79ozSUhIJuGmRfDYar3Q2nqh3LwRoiKJomKZJJAE0dNie7Ttac+vx2qtpa3n
+1x5PT+vlV0svIrmQZLxVBBQtBG8IiJdWq1XxUsCqFclMAiHJzF7v748ZKJfMnr32WjOT4Hyex+fx
+IWu975u1v1l7r9u7iJmR4wCCQasrH58G0YlS0okEPgmEowEuBjCcQcVEKAajGEBxolY3CN3M6CZw
+N4DdAHWD8QGDXheC3wDzGyV9eAehkJ29X27wQZ9oAQaDVqTAOh2QM0jyNBbiJDAfD8CfJo9REL1F
+Ur7OgjYAYl2g137xkyzKT5YAiahz/tzPE2GGAM1g4FwApVmOKkzAkxK8jhnrylrufQWfoIfyiRDg
+7rrgBJuoAcB8AOOyHU8KdgBosZgbhzeHXs12MOnmiBVg97x5o1jIWibZANDEbMfjCcLzJGkZSdFa
+vHz5zmyHkw6OOAF2Lgiebdn4DyaqRPq+5TJNlJhXE+jW4ub2Z7IdjEmOGAF2NVTPZMYNiH/XHck8
+AfAtgabQ49kOxARDW4BEFJ4/9zISdCMYZ2Y7nIxC2MzMt5Q23/vwUB60DFkBhhuC5xHTnQA+n+1Y
+ssxLgnHtUH01DzkB7m6YMyYm8/6HiOuzHcsgggHcI2zru0NtsDJ0BLh4sYi89epXwfgvAGXZDmdQ
+QtgFphsCJ5zyW9x8s8x2OG4YEgLsbKj5vAD/HoxJ2Y5lSEDYLEFXlzW2vZLtUFIhsh1AKiINwasF
+8+ac+BRgTBLMmyP1Nf+a7VBSMWh7wI+CweH+fLqLgLpsxzKUYaA52sdfGx0K7c52LAMxKAXYWRc8
+VQgRAvPJ2Y7liIDor1LKYFlz6OVsh3Iog+4VHK6vaRBEm3LiMwjzyYJoU7i+piHboRzKoOoBI3XB
+60H0f7MdRxI+BmgXwN0AdxGoSwLdACCAYgaXgKgYTCUAjwAwMsvxDgzz9wLNoZ9mO4x9DA4BElFX
+ffB/mfGtbIcC4CMATwN4jUGvC6LXpdX7eunSB8MqRsILLy8VdsFJkvkkAp8E4BQAZwMYnYaYlSDC
+L0uaQt8eDCso2RfgokX+cE94KcW3SmWDTgDrianDFugoa2r/S9oeDBF11ld/zpIoZ+JyANORpTlN
+BlpKC0sXYsmSaDb87yOrAvywoaGoQPbdD8LMDLvuB/NKJiwrLSx7JGsPYdEif7incxYxFoDoYgB5
+GfXPWNMr8q84qrFxT0b9HkD2BBgM5kXy6REAMzLlkoFXBeFXRNG24mUPfJwpv27oXjBnJLO/RjK+
+TsCEDLpeF+jjWQiF+jPocz/ZEeDixSKy9dVWAMFMuCPg70z8g0Av7hn05y+CQStSgCuJ6UcMjM2Q
+11DghAm12Vi+y4oAww3VtxPjugy46gLzf/cU9f7iU0tW9GTAnzHeXzS7sLBn2LcA/CeAknT7Y8Id
+pY3t30i3n0PJuAAjDdXfS2woSCdRJvza5+MfD7879FGafaWV3VcFR8didBMxrkG6d3gTbgg0tmd0
+GiyjAgzX1ywk8N1pdnMvgW8oaQptTbOfjNJVHzyBQf8FYG46/TDoqtKmtqXp9HEgGRNgV13Nl5j4
+aaRvpPc6kVhQ0ti6KU32BwVdDbWTmeUyACelyUU/SJwVaGzdkib7B5ERAXYGgwGRTy8C+HR6PPBj
+7ItWq04WD1XCCy8vpVheCMAF6fFAb9vsO31Ec3NXeuz/E1+6HQCAyKffI03iI9BtJX38HTQ9mN7R
+7Tcq8/d0Dy9jW5QxUxnDLgNE4lC7DBOsTiLuJEt2FhXv7sTtq/vSFUrp0gfDCAZnhQvoF+kZzPFn
+LBH7DYAa87YPJu09YFdD9b8x4840mI4C9PVAU9vv0mAbO2trx+b5uJyBcgDlAH9GzQK9DaCDgI7+
+GHWMam39ezrijNTXLAL4TqSjMyFeFGgM/ca43YNcpFGAkfqaMwDeACDfsOmdLHFFaUv7k6YM7pk3
+76iYiE0HUUJwONGU7QRvAOgAc4dP+tYXLV/+oSnD4fnV5WThPjBGmLKZYK8kmpTOndXpE+CiRf5I
+T/hPiC/Cm+QV9olLSpe2vmvCWPx0HW4CqMKEPffwWib8uLQx9IQJa5Era46HzQ/DeHvznwM7PjoT
+HR0xs3bjpG0/YKQn/G0YbgwGVvX38VQT4ovUBy/oqg8+SUzrMy8+AKAKYlrfVR98MlIf1B5MBO5p
+e0v28VSAHzMR3T+hL4THj0nbokFaesBdtbXjLZ98DUCROau8KVC2+zzdj/uuhupKZtwEYIqhwEyx
+kQg/LmlsX61jJLGC8hSAMwzFBQDd0Zg4OR3fsWnpAS2f/AWMig/v+WFfriO+robqykhD9RZmrMLg
+Ex8ATGHGqkhD9ZauhupKr0Y+tWRFj82xSwF8YDC2Yn/8mRrHeA+YyNHyqEGTe0HiXM8To4sW+cN7
+wz/P0NqzMZhwR+mw0u943SqWmLB+AkYHgHyh6Zw0ZgUYDOZF8sUrAH/WlEkiqi1pbGvzUndX3RXj
+LPLdi8HZ47lho82xuSOa79/hpXJXXXUdE5oMxvNGoI9PNbl1y+grOFKAepPiA9EtXsUXqautsMj3
+Aoau+ABgikW+FyJ1tZ4GSSXN7c0gMnn+48T4MzaHOQEGgxaYrjdljpgfCjS136RekShSX30DSD6G
+QXD+wgCjQfKx+O9EpFo5cPwpNxKwwlg0TNcjGLRMmTMmwK58CgI4wZC5l/v6Uad6NuP9RbMLw/Vz
+VwC4BYPwyKkGAsAt4fq5K95fNLtQqebNN8u+Pp4PwNRk8gmJZ20EMw+JiBj4nhFbwF5I+zLlk/yL
+F4uinmGtxHSxoTgGHcR0cVHPsFYsXqz03EaHQrsh7UsB9JqIg4HveemNB8KIAMN1cy8BcKoJWwBu
+D7Tc97ZyDFtfu42B2YZiGLQwMDu89bXbVOsFWu57G4zbDYVxauKZa2NEgAQy0/sRdrGvX/mjOVwf
+/DaBrzUSwxCAwNeG64PfVq7Ivp8ifgzVQAxmnrm2ADsbaj4PQyNNlvgv1T19kfrqKwh0qwn/QwkC
+3Rqpr75CpU6gpaUTBFOj4im7rpz3OV0j2gIU0lim0m2lI7qVtm11LwhOBdCMI2vA4RYBoDnRBq4J
+WIW3I34XiTaWlNqZy/QmouPHK7cBOEY3EAYtKG1qa3RbPryw9jiKyecAjNL17dYlMT/BgjZBYifA
+nbAo3lvbXApQGQRGkeTJTHQeMncD0072iS+pbNCINASvBpP+PkrC9kBT6F90MklobWKMbP1LBUDa
+4gP4z6UnTGhWqSGi9i+ZKN3iW8eM1UTUETjhlJdcn5tdvFhEtr52GjOXE6ES6T18P0pE7V8CuMxt
+hUAv7onk4zvQ3a3EGB+uqzmvFFjv1YRWDxiuq2k0kSycmCpLmtsece03niF/va7fZDCwSkj+UUlL
+aLMJe13zg5OkoB8QUGXC3kAw8XSVvYXh+urLCHhQ3zP9PtDU5jkTq2cBftjQUFTAfR9Ce9cLrw80
+hcpdFyeiSF1wC8xuN4qbBlaw5B8FWkLPm7YNAJH5wTNJ0A/SNF30QqA5NFHldRipq94AgtI35EBm
+Ar7Co7F0qac5Rs8f7/my90KY2HLF1k9UiofrquthXnw9xKgvaWq/NF3iA4BAS+j5kqb2S4lRD8B0
+poYzEm3jGiK+xYDfQJfd4/kTw/voUZD7Xis57wU+e3KH28LvL5pdSDDSaAdAb0qiySXN7UrfoDqU
+NLc3S6LJAL1p0i6Bb1FZqivpw6MAtM+msMY3rmcBEmO617r7YbSoJMQp6hn2HRi8bpWYH7LZNzEb
+1xmUNba9YrNvIjE/ZNDsuEQbuSMUsgnUou2V4bkz8iTA7nnzRsHAFVkS7LrX2bMweDQD39X1eQCP
+lxSVzc3E4etkjGhu7iopKpsLwNgmTwa+u2dh8Gi35SXgeurLgdMi8+d7SrTpSYDSss8DoLsY/SeV
+rO2xKK4GMFzT5z5ettn/5WxnBwUALFkStdn/ZQCmMtgPT7SVK0qb2v4E8J81fQoWsfM8VfRSiUHT
+vdQ72IbiHjWiObo+gXiuQDsmqrLZ8x3KiObmLjsmqggwc+hHsa2YSX+/IHn7DvQkQAJ7Uvshrte5
+LRleWHsczIx8JZguG9Haut2ALaOMaG3dDqbLAJhIEnlGos1cQYJdDwST2vA4JlBfCSkv92HcGN07
+PPaWlkWedV3alpdr+ktAS0ua257TsdAZDAasfJzNROOZ4xlMCfQeEW+PSf/TOj1rSXPbc5H6mqUA
+u36FJiXeZq5OsnX34tnifPRB7wDTySgv96keYFcWYNfYkZ+GbqJExjMqRywFY46Bo1O7fT75fU81
+iSgyf24QhKtEPpUz4Acf+BHMYAYsivZH6qo7AF4aaLk35GWN1OeT34/FqBqa37uCMQcuBTguFNob
+qa/eBL3b5v1dY0d+ugRQmlpSfwULoZ8zRcD163fPvHlHMTBN2yfzT4uWhpTPynbXVZ8VqZu7GURt
+AF0I5z++PBBmgqgtUjd3c3dd9Vmq/oqWhj4As/aWKQam7Zk37yiF8tqvYS/aUBagJNIWIAEvuC0b
+s+Rl0NxuRcDfA/6in6vW66oPXisJ6wGa6MHrRElYH2movka1ZsBf9HMDAxKRaDuXpelFTX+etKH8
+YEnqZ42SlnjddWFm/e8/Qkh1rTJSX30rg+6A3o4hHxh3RRpqlJYbsXRpLwghDb9xFNrOisbcP5Mk
+eNGGes9C2gLsLT3u5G0K/r6o6Q82iwdUysdz7uE/dP3uh/nGSENQaWChGvOAKLRdcfHItwDoZcDy
+oA11ATIUEzUexpuul9/i50/HaPr7sOyEk59xWzi8oPZ0gO/Q9Hk4THeF5wdPc1s8EbPuOu0Y12d4
+lyyJAvSOljcP2vAwCNG+s8J1V7/Tso6C/nb7P6isN5Mt/xvpuQ7BT0LhJtB4zH/Q9CkSbegKJqn3
+GiYEVKt46QGLlescWJ3df1z7hf0pHV8AAKK1botG6morQDhf22dyLupcEDzbdWmF2JOh0obEQnfg
+ozx1pCbAb1TmQ7N3IILrA+eChLYABdN7bssSSaN5TwZCMLm+NFol9qQ2lNqQdZcn/QmNuEZJgN07
+S7V6PwCAggAlWFuAUkbdzf0Fgxanccv8fhiXus1s4Dp2JxsKbUiA9vq4qkaUBCh9MW0BkiTXAiQD
+AuwZ3u/qIXYO830OmTlhN6bzzb+4Ok/rNnYnVNpQMnXr+lPViJoApf52KKnQAzJIV4Ddbi8ptNg2
+ttE1FQLuXouJ2LVEodaG2q9gSPKlT4CCjVyz5f5CGYLrjZVJ2Om2IDNl6mpUsNrv5fp3GBAVX0Ta
+mfBFLKY0RlAToE9od9Fgdp2zz8A3iWtfTDIt1xAMhGAlX1rzoCptSGDdOVcQpNIzUxOgUDM+EKTQ
+oJLoXU13w7uCQVeXtxBDe8TpFhbuEojvvio4GponDxXb0PWcYTKEJSNK5VUKd+X16guQFH5JyX/T
+9Sf9ONZNOQG4Xx7URJBwlZtF9ovjtJ0ptCFD+5MHw3t9SsmllASY+CjWelWxQjdPENoChE/8i5ti
+xU2h10FI/05pxrvFy9recFVU4DhddyptSPo9YK9qAnMvmxE0e0Fy/0v6+F09X4BgdiVAAGAmk0ck
+B4SI3G8yYHmctkOlNmRdASq9fgEvApTaAwPXPWCgR26H5hkJlgrnVwTdDSCd14fKmCVc3xjPIN2z
+NzLRhi4h3Vew8n3NXnpAZZUfgvuRVrw7f1/LG9FFbrMFlC5rfRGAp2sh3MBMLSPuWf4XN2U/CgaH
+E6B7h937rl+J8V0zehPxHrThZaeJniCAvPDCy13nzmNoD0QKh+0tvMi1P5+4AR5eJS6IwE8/cFs4
+L59mAijQcajSdnt8vlHQ3XmksNFkHx4ckvYBarbzFVYdSDlh+eEO+Sq3RUuXtr5LTLUwczxyHzGA
+5yre8uk65uS4b7uYj8fruyNXvfuBKAuQDdw3YbGc7rYsMa/U9UdAVfzybHeUNLc9AuKvQWXVJjkx
+Jv6Kyh1rXfODkwB4vrBwHyptx1Jqn/Um5vQLkIxceEIXui3ZU9T7MIA92h7BN6uUDzSGfkOEKui9
+jsMQmFXaGLpHpRIL/FDD5z72JNrOFUTs+pkkw2ZW1oayAAO+Ya9Cs2dgoByLFrlaM/zUkhU9IP3p
+EQZmh+trp6vUKWlsXyMFf4GBFqiNjpmBFin4i4Fl7X9U8dnVUD0ToFkqdQaE6CG3GzGwcGEBQOdo
+eoyV9bvf7b4P9W/A+Omyt5TrHczwcE+X6zOzQqJV0x8AgCBbVc7KAkDZstC20qb2OpZ8BjNuByj5
+hz3jXQLdxpLPKG1qrytbFlJaXdlZWzuW2cztliptFpE9ZwMYpunyTS+3aHo7csj8CjTPBxPLC+Ey
+uXVxUWBNZG94Fxiu1nUdODpm2cuxePEFKudEAKC0JfQSgG8C+Gbkyprj2abxgu2xACAF3iNbbvdy
+w9N+gkHLn0+tMHHBImFXcWFgjevyki40MP2p/P0HeBQgE71MgF62KsJMADe4KrtkSRQN1fcD+IqW
+zzgzwm++urgUUL+JM0Hgnra3oP8WOIhIPv0IeqkxDuR+tdRzfIGuQ/YoQI/zPmK9t3oHcXpit4cr
+2DbzGgYAItwY/9YaHHTVBy+Cucseldpqd8OcMYD+2WuvmvAkwNIdHzwN/clakv1w/ZdXeuKEJ4zM
+CSZ8M6O9e96XdbN8adM978snM6gN+gk/E9Db8bZyh+S8Cwz4jiQ0oYy3HjCegsv9N0YSmMh97pKb
+b5YsoH5BX3ICtmXd/2FDg36mf4982NBQZFvW/YD6edpksMC3Vb5vGXypAbdrVNOy7cPz0guDVnmt
+ewBzuuqDri+5Ll3W9hCARw34BQAQMKGA+35ryp4qBdz3WwImGDT5aKKNXJFoe+3Mszpa8CxAn18+
+Av3lKotB/6lSgaT9DQDKw30Harvqgxm/6jXhs9agyf5E27gm0fbuUnckRya04AnPAhx+d+gjACau
+smrYVXeF67Xhkpb73gTR/xrwux8G/byrrsbIlbNu6KqrmcIg5XRxjhD9b0nLfa6TQyba3PUheQc2
+J7TgCc3dD/rrtADyfORXykTVi7yfwNCVo/tiYOJ7E9dPpJXuefNGMfG9gJEThvvYkWgT1yTaXD8G
+TQ1oCVBY4l4YmMFk8FdUHv5RjY17ALi/kMUd46RPKt1X7IWED9NnkL+TaBNXdM+bN4rBJuZUOaEB
+z2gJsHhZ2xtgaCfQAVAoffJbKhUCTe0hsP5I/CCYg+H6GgNzYgMTrq/5IpiDRo0y1gSa2pWSWSba
+2vWVXg780e35lmRo3zTOFpnpNZiv3VVXp5b6jX21UEj35gIS4B8btHcQCduG5vsAAK8n2sA1u+rq
+SsBsZNDFgv6frg1tAZbulSth5khjwCeiSqO4QEtLJyyqgm72gANg4JKuhtrJpuzto6uhdjIDlxg0
+uRMWVQVaWjpVKiXa2MS847bEs9dCW4AIhWww36VtBwAzbuysC56qUidwT9tbUvDlAFxf+5AyDinV
+cjpn3mafFHx5Yk3aNZ0L5n2BGd6uqjgU5rsQCmlv2NUXIAAhfb+DGQEUWEDrjmBQaWtQ2bLQ02Be
+AFMn2gjnq+4ddCJcXzvdYOJLBvOCsmUhpaWvHcHgMJJ2K/Quo9lHX+KZa2NEgMXLl+8koN2ELSb6
+XHG+UJ4jCzSH2kG43kQMAECwjd3MadIWCNcHmkPKbV2cL35patWFgPbi5cuNfPYYESAA2Mz/A2MH
+efhr4fqg8rX2gabQrQyYWCIEQBU7r75UOx9i3AbpHq/cx6OBptCtqpXCDTVzAP6qoRhk4lkbwZgA
+41ev0lJT9gh0987aWrWUacxs2daVgJFEQ3n+/gLtcxIJGyYmnT+0KLpA9fqvXbW144nZyOsywd0q
+1+ymwpgAAcCP6E0wcIAowUi/z24EkdK0RfHy5TtZwkiuZzIwajVhI26Hrxze+MA/lCoFg5bPZ7cA
+8HSZ9ADs9vnY80begTAqwMKm+98nQPkVkRyqiNQFlb+fSlvaOwCs1vXOQJXbfM4DsnixMJN3mh8r
+aQop7wLqysONrH/Y6IAwvN2354RRAQLAXsr/H2MXL8f5saeNAiSUjmEmYVT3m69O9Vo5UVd7fZnI
+cp1RYR+d9bXnMLnPxJA6CGzv7ofRTSBAGgR4VGPjHsnez1sMgJ8Fh7oXzBmpUinQ2LqFVG9lHwBJ
+3l+hOnUPYHVJY+smlQq7rwqOFpCt0N9qtR9i+t64UGivKXv7MC5AACj97IR7AN5izCBjvJS+JtXv
+QQkYGBTx6dmpm7Ag6DdKFYjI7qcmAMfo+t4fA/BMSXP7clP2DiQtAsTNN0shxHyYG5AAoFnh+rkL
+VGr0Uf7jAJRuyRzAr0bOFJ26AIC+Ps5TOtgerquuT5w4NEU3SbvBy+XbbkiPALF/p8y/m7RJoB/F
+T/G7I75FiXUvYtYRkZ4AGetVtllh0SI/gX+o5fPQEBjXaZ13TkHaBAgAgeb23wJ40JhBxvhwbM/X
+FWvpCnC4Sjq5fSTq6N2rQmqxR/aGrwbwaS2fB3NvaXP7MoP2DiOtAgQAIaJfMTkqJtBcpfIGst9z
+1K/ck3mpcyjErJqz2uRewx2QvkUG7Q1I2gVYvOyBj5lFA8ylvv1SZzDoejuRJEtb/BaE8g5mL3UO
+RTK5Tgb6/qLZhWC4zreTAgbxAtWtXl5IuwABINDcuhZEPzNkzhIF1mddF7b1L/xjIdV7QA91DsVi
+27UAC3sKT4apcyZEPws0htYZsZWCjAgQAALHn3IjgPtN2JJEro9lsvApf78dZkOqX2Hlpc5hNhRi
+ty1haj/k/YlnlREyJkDcfLMM+ArrwHhW15RE7GPXhQnH6/qDRe796dQ5FIXYmWPKGeoPN4JnA77C
+OtXMYTpkToAAsHRpr5DWbABbvZpg8IaRy0LuBxYstQXIkMpi8lLncCPuY4+3Cb+o4W2rkNbsRP7H
+jJFZAWLf5lWeBY/nOAhCaXVDQrhO/ZEMPwtlMXmpcyiqsTOLRo+udhJ4lqlNpipkXIAAUNIU2ioE
+z4biKgWBnwr0SbXlNdLvAYF+D2LyUucQFGPf3S+XAFDdq9crBM8uaQp5fivpkBUBAkDxstCzRLgC
+gLsFbsKuGNvzVA7CdM+bN4pArrPjJ2H38F6fspgSdVxfzj0QBDpD5cD+uFBor7DtoILfvUS4onhZ
+SPu73CtZEyAAlDS2r2aJi5D6Ttt/kM2zRjTfr5SOQ/rsr0D7shc84en0VyhkM+A6T18SChK/g2uK
+l9/3VzBdgdQ3rXexxEUlje3a+yZ1yKoAAaC0pf1JgMoBJEtw8zqkPbWkJaSWCKm83AeG6rLd4TAp
+bQYwVne/DXwd5eVKqZQDzW2PSWGd7XD750cAlcfbPrtkXYAAEGhqe0GAzzm0wQhohPRN9bIYHhk3
+Zg4M5GBhAc8i0ql7AOMSv4sSZcuW/9ln8SRiPjhfIGG7AJ8TaGp7wUBs2lCadtl4Yldt7XjLJx8H
+YIHFNYHmVs95ZyJ11RtA8LybOcEHgab2T+kYiNRXvw/di6AZzwaa26d5rR6ur76MgDsA9EjBF6he
+H5FOBkUPuI8Rra3bqY+nBXyFp2qJr6H6ewbEB4AM3NlhwAZhaqSh2nMS89Km9j9E83onCNs6azCJ
+DxhkPaAJuuqDFyVSxur+cUVtjn1GdeBzKLvqrhhnke9tAK5uhnJAErjKy+Gkwcyg6gF1iVxZczyD
+lsNE1i+gXVd8ADCi+f4dbCZrhGDQ8siVNQbmNQcPR4wAd9XVlcDmB2HqDKxkcyl0zdkqg80PKqex
+G8QcEQKMNNROtCj6AgClzFpJIdyduJrLCKUtoZdAuNuQuVMtir4QaaidaMheVhnyAgzX1XwLLJ8B
+DOx6ifMP6uX/Y8jWfhI21TIbJOd4sHwmXFejlFV2MDJkByEfBYPD8/NpueGkjyBgXklTu7FrwQ6k
+q766lgGjxxsJeLivj+eNDoW0lv2yxZDtAUeHQruZxW0EfsqUTWbcni7xAUBJU3tr/MpXMxD4KWZx
+21AVHzCEBQjEt/qXNIXOZYkZAPSWlZgfKP3sBKPHSAei9LMT/h3MD2iaeZIlZpQ0hc7VmS8dDAzZ
+V/BAhOtrpxPL60G4AAp/XAzeUOorqsjYZsyFCwvCsT1rCaSyuiHBeJxJ/LS0qXV9ukLLNEeUAPfR
+uSB4LEm6ioCFAI5NUfwNIaLTipc9oL9/T4HuBXNGSunfACDVxd/bGFjKgu8ebKsYJjgiBbifxYtF
+11uvXsCMf0V8sHJwfmTC87aMXWZiwtkLu+quGGcJ3x/AOPOQH/UBeJgIvys5fsLjmTyjkWmObAEe
+wIcNDUX5svdCIroEQBUzrSn1D/tqps9AHMbChQXh6N7fEPFMAKuY+eE+UfCYUkqOoQwzf/L+++EP
+RdZjGAoxZeC/T0wPmGNwMqSnYXIMfXICzJFVcgLMkVVyAsyRVXICzJFVcgLMkVVyAsyRVXICzJFV
+cgLMkVVyAsyRVXICzJFVcgLMkVVyAsyRVXICzJFVcgLMkVVyAsyRVXICzJFVcgLMkVVyAvyEMa18
+9smTyqtOy3Yc+1BKfp1j6GMLe7oA7ppSUbUDjFUssLLQ7nm0o6ND+247L+R6wE8u40BYRIyHe0Xh
+W1NnVH3jizNnFmU6iJwAc4CBY5lw27CY9bcp51d9M5O+cwLMcQA0EozaTHrMCTBHVskJMEdWyQkw
+R1bJCTBHVskJMEdWyQkwR1bJCTBHVskJMEdWyQkwR1ZxvRmhvLx8+F5r2LGwoXRPGRExsf1x1+iS
+ba+EQv3qIbr2Q5MrZo8h5mNYxvLc1mOy9kL4d2z6o/ck5WdVVB5vS4xO6iPP9+GmNSveSfbz8vLy
+gr3+4eNZYhQkl1iC6cCf25KYWEZ8fv+2Z8464wPOYs5oWrxYTNrw/L+ww13+IQAADAJJREFUHR0l
+mMoE0cCdGFMX+aJbn1mzxvF2qAEzpE4qrzraEnQ1wFM4nmV+PPQvAZQA/g6mdyH4qb1W7JY/rVnj
+OQ/y1BmVZzGoHoQTEzGOo0OTkKuxF8B2Am1nyJd9lv/Wpx976O8uY7mHiRYkLcBYsnHdqmsOq3fB
+JdNZyq8CdAnAw13GGQVjBwjbQXjJlvJXz6175HWXdTG5ouoaAu5yKLJp49pVUw79xy/NmHWSj6xr
+GfxlqF3A3Q3CWyT5T2D+4bMdj7x74A8PEiAR0eTyWd8H0Q+Q/q1a7zLTNZvWrVyjUunsCy8dG7Vj
+ywk4L12BJegB0c99vdatTz/9ULdTQVUBVlZW5u/qo58B+AYASlrPHUzAku6RRd9084bxIsCpFZVf
+Y9DPAQzTjLUHoJuPHVn4i1AoZAOHfANOnlG5DEQ/Qmb2CR5HxKunVFRe6LbCtIpLjonZseczID4A
+KATzTXZ+7JWJ5bNHmTI6acZFn9vVR5sBfBP64gMAYuCa4Tt3rykvLzf63M49t3L01Iqqhxn0K+iL
+DwAKAb51267dq4LBoAUcIMApFVULAdQbcKKCAKjp3HMrk34/7SMYDFoSshVq3b82DBzrE3YjEWmL
+ZXJF5bWCrC0AvmAgtIMhmt5LRYtNmZtaUTmr308vM3CxKZv7YZr5t4/3fB9ICHDSzJnjAdxh3JE7
+xvT5qC5VoW0793wXwDkZiGcgZk0ur/qK59qE0VPOr1pJoDsAFJgL62CY+PppF1Tp3ZlMyJ9cUXk7
+g1YDOMpMZAO5wTcnzp5dKACAor4aABnfDbs/GML81IXwrxkIxQmdt8McMKqMRZIcIXX38zFOI9B1
+huJxoszaI6viAiRckQGHTpx59tmXFif7YeIQzWcyGM/hEE+bcv6laesRjMFZf5buYT7ON6W8chwE
+TXIuSVvBuJUsvE2QHyPm2+vKPtkBgI5h8NdAON+pbLSIRwEYcLQphHOjMtAngJ9IQgjI/3jzOV/s
+dDNXNnHiRD8KR40SlnUUEeqJ8G2H4oI4OhvAb1PZdcEmEJ6E5L+ysF7L77e3dlv50YEKDhOxIgh7
+DJiOYkY9A7VwHrycOPWCi0959vGVrxmIEwB2ANQOwkuC8XZ/NPaObQ077PkX5vXk2bY4GkxHg+h8
+ML4FwO9kmIjH+tjCRGLHX6gnFo2du+XJR9/3+htMmxZ8RA7b8wGQfBKb7egoAANO1jJjotMQQDC3
+Pbtu9U9U49qyZUsUwPuJ/16aWlF1DgNfShoj0xdVfeyvC/QJ5jaGuGPjupXPK1QNA3gv8f+PTj6/
+6kli/Nqxho1TAOgIMAqgXbK857nzpnQoTHz/A8CfATw2pbzyAQjaAIc/FgaNFYLF+BRGN+qIDwA2
+bAjtBbDKqYwPYmSynxHhGKe6EsLQLee81PHHxKnaauBqoLX5UR7/7LrVVyqK7zA2r139GwDvOpVh
+SnlFrRNvx4R1wsa1q+o3r3tkrddVl40dqzcC3O5UhpjGCk7RqMx4yUsAhzkDPnD6uQQ5zbWNc6rb
+648+7SmoQ7BZOt+6TuTpwTLz1iefXP2Rp6AOt8UMbHYqQ2AdAX605fEVRu4lZqL1jgWIxwpwir9q
+wUYucmaicIoSA/aAE2fPLoTzMqD882OP9XiP7J9YTM5Lg6naKkMI4GWnn2v2gMYQklK9OccKgBwb
+VYCM3KdLklMIkAYUoK+XHV+/APawoSs//XYKAYJGJv4gsgrTwIO1fRAPDgFKQal6/QIBJN/FAQAs
+zQiQCZ2OP2cM+ApmOfC/74ewWyOsg+gp9aXcHFHQS6Wm/HmFAcdnwiDdjSNGINtO2TH4wPA7jYFZ
+oM9EMAx+j0DJd20wDzi1wyz9jmtgbE6AW1as6JlSUcVwGrnZMcephYwgeS8cpgUI7Ho7WrbxgZzn
+agSkkR5w09rV6wCcrFyRpR9JtpwlCji+jtShPU5bo1jaWRegAPU6dS2c4pkOJgRSTBaaegV7xSdE
+isYkYz1gHHZ8DUtLDILeJcUz4aHUA6YSoKFXsFeY2e888c+fm3J+5aPmPFLAMR5pZb13YZK9zm1C
+R44ABWW3BwQLP8jphUMjwZiZuXjkIBCg2EsOA38GhowAU7+CYWdXgCL7D/wgrFSfBOkn1TOhI0mA
+krP8Ckb2H/iB8CDoAX0kUnUKZHp3dLoQSHE002K/naFYBoSlHFRHR33MJrbRaxGz7ZTrs93d3VmP
+0w0C8Z0PSSHItO3gdQWRY3yfRAR8KZ9JYqfPoMeHuACTfjNI0jrqqA0RR+Hc6ewBsCMTsTDwcT/7
+/pIJX04QZIHzDjrnTmUwsU+ASSHOcg8oKeq4UgNs2bR21fSMxTMIsMEFwlmAaUsAYBrBKQQoYWVX
+gJApPhHg9kD3EQOlfiZDRoA+AkedJjWJ2MgrmBYvFqetfynpjuiiqLQHPAAuRBTOS9pJz5IcqZCQ
+w1J8lgwdAQLOH/nEbKQHnPzMluMh5BvJfh7L5xcAnHmYfxJRZqdBn+uUFkcQogDOf5VD5htQpBKg
+NHSOlWNw3kfHtGvAf7ftFI1Jn7weMHWnMJR6QNnl/Ao2NAoWKHT6o2XCgAJkwd0pXjdFRESmNqVO
+qaj8BYNOT/ZzS1rXbOhY8VcTvrwiwQXk9MwAIzvEM4EP8SmMM5IVICYzPaCMFSbL5AUAAkkEiGHv
+kfNijPjChRcWIj4dow/RdGIkvcyPEc3u0iQAMA1zGgRLzsy0lAkEmLY7FWAykzHBIvL0Ck7k7XN8
+6Pn9PmOvYWKMcPp52bCU5xzSDgk+wfHnwN8yFYsugshZgABPMOFIknB8sE6Hn/if52IHxEd8ksew
+BvKV9HgogF2rV69WXhsnwggTyY32w3TYYO2gHws2cqotEwjJ7CxAxpSJEydqL8CT5Fkp/Aw8CInj
++EqRRJd7ielQJp9fdSIcc+R46/0YmDt5RuVfppxf9W/l5eVao/ZpFZdMApD0GxUACGLoCNDxnEac
+Y3ylR//6nHMu9nzQZXJF5QwQOSfnSTYKjvNmChdfPqui8njlwA7g88FgHjG+71iIWef1ewoYd+4V
+he9Nrqi8ffL5F5+jkmsmnoL44rkSMoRUub3Jflcjzozi29Sx6oXJMyp3wOnwN/NV0TxcOaWishOg
+jxFPZ+sCKgJ4FIFKU8xbgS07qQAFeAWDnLJjHWODXptSUfUiiDuJqYsZrk70E0EwcPRw4ESkSkdG
+MPH9V0Kg68B8HRDDlIqqLoC3MmjAsy1EKCLGmMkzKscAruZkI7vLih0Prg8mfMzMkysqH3SRkksk
+zu46fSMdguuZkVheX/KeuCwfj+3qQzecVz38ACaBKe7V5ReXytwNAW8rFHdLCUBnOCRQUYoRwIp0
+JoM3TTw9m+BQVqMgrHFKXbF69eo+ZlqRyZAGgEnazR7r/hWZmptj3J8RP4YQALDx8UeeBqExW0Ew
++HepylhE/wlgZwbCGRBmrNnQ8ehWb5XxBBNOJ+A5w2Ed4gZPHDuqaGU6fZhm/8fsMLvn35D6Yz8d
+NG364+o/pCq0Ye3D74HlAii/kYywk/2xr+oY2PTHVW8UyJ5pAH4CIB27zHdaEPP3ZZ8fKuwXYEdH
+x272WTMBfiFz7um+WJF12P0Zydi47pHVzKiDqVUPFxCwjYnmbF6zJsV8aWo6OjpiG9euukmAzoXZ
+78m3bUnlG9Y+7DhfOhg5aDi/ac2Kd0bkYxqDryPglfS5pa2CMGvj2pVzt6xYofRttGndquVC2qcR
+6E7Ekzemi34AP40WWads+uPKp0wa3rB25QZfn+80An4NvT+mD8D8gz6Zd+ZzHSvT+LzSx2EnpxIz
+/XcCuHPi7NmFVo89DszjwBgHgXFgtau6AEBAdIL5HVvId6Ttf2dLxwqtb7nEt9h1AK6bWD57VJ4v
+Np4lxgEYx6CxDFaeOCcS/Qz8jaX9LizrnaLYnm0dHR0xnTidSOx9/NrE2bO/49ttzwJ4AgSNAqOE
+Djn4xEQMIEKEbQzeJtja1h+Nbn/+qTUfqG7CEIwXJfHPkv2c2Nwktsyz36OYldRX3J+ZTSSfaLxe
+1ZUjd1tmjiyTE2COrJITYI6skhNgjqySE2COrJITYI6skhNgjqySE2COrPL/AfYGM1yNs4GcAAAA
+AElFTkSuQmCC
+"
+ id="image13722"
+ x="297.28098"
+ y="115.33748"
+ style="stroke-width:1.45791" />
+ </g>
+</svg>
diff --git a/doc/talks/assets/intro/slidesB.svg b/doc/talks/assets/intro/slidesB.svg
new file mode 100644
index 00000000..c0a6e97c
--- /dev/null
+++ b/doc/talks/assets/intro/slidesB.svg
@@ -0,0 +1,444 @@
+<?xml version="1.0" encoding="UTF-8" standalone="no"?>
+<!-- Created with Inkscape (http://www.inkscape.org/) -->
+
+<svg
+ width="1280"
+ height="720"
+ viewBox="0 0 338.66667 190.5"
+ version="1.1"
+ id="svg5"
+ inkscape:export-filename="slideB1.png"
+ inkscape:export-xdpi="96"
+ inkscape:export-ydpi="96"
+ sodipodi:docname="slidesB.svg"
+ inkscape:version="1.2 (dc2aedaf03, 2022-05-15)"
+ xml:space="preserve"
+ xmlns:inkscape="http://www.inkscape.org/namespaces/inkscape"
+ xmlns:sodipodi="http://sodipodi.sourceforge.net/DTD/sodipodi-0.dtd"
+ xmlns:xlink="http://www.w3.org/1999/xlink"
+ xmlns="http://www.w3.org/2000/svg"
+ xmlns:svg="http://www.w3.org/2000/svg"><sodipodi:namedview
+ id="namedview7"
+ pagecolor="#ffffff"
+ bordercolor="#666666"
+ borderopacity="1.0"
+ inkscape:pageshadow="2"
+ inkscape:pageopacity="0.0"
+ inkscape:pagecheckerboard="0"
+ inkscape:document-units="mm"
+ showgrid="false"
+ units="px"
+ inkscape:snap-global="false"
+ inkscape:zoom="0.77058782"
+ inkscape:cx="408.77885"
+ inkscape:cy="376.98494"
+ inkscape:window-width="1678"
+ inkscape:window-height="993"
+ inkscape:window-x="0"
+ inkscape:window-y="0"
+ inkscape:window-maximized="1"
+ inkscape:current-layer="layer2"
+ inkscape:showpageshadow="2"
+ inkscape:deskcolor="#d1d1d1" /><defs
+ id="defs2"><marker
+ style="overflow:visible;"
+ id="Arrow1Mend"
+ refX="0.0"
+ refY="0.0"
+ orient="auto"
+ inkscape:stockid="Arrow1Mend"
+ inkscape:isstock="true"><path
+ transform="scale(0.4) rotate(180) translate(10,0)"
+ style="fill-rule:evenodd;fill:context-stroke;stroke:context-stroke;stroke-width:1.0pt;"
+ d="M 0.0,0.0 L 5.0,-5.0 L -12.5,0.0 L 5.0,5.0 L 0.0,0.0 z "
+ id="path12266" /></marker><marker
+ style="overflow:visible;"
+ id="Arrow1Lend"
+ refX="0.0"
+ refY="0.0"
+ orient="auto"
+ inkscape:stockid="Arrow1Lend"
+ inkscape:isstock="true"><path
+ transform="scale(0.8) rotate(180) translate(12.5,0)"
+ style="fill-rule:evenodd;fill:context-stroke;stroke:context-stroke;stroke-width:1.0pt;"
+ d="M 0.0,0.0 L 5.0,-5.0 L -12.5,0.0 L 5.0,5.0 L 0.0,0.0 z "
+ id="path12260" /></marker><marker
+ style="overflow:visible"
+ id="Arrow1Mend-3"
+ refX="0"
+ refY="0"
+ orient="auto"
+ inkscape:stockid="Arrow1Mend"
+ inkscape:isstock="true"><path
+ transform="matrix(-0.4,0,0,-0.4,-4,0)"
+ style="fill:context-stroke;fill-rule:evenodd;stroke:context-stroke;stroke-width:1pt"
+ d="M 0,0 5,-5 -12.5,0 5,5 Z"
+ id="path12266-5" /></marker><style
+ id="style2">.cls-1{fill:#3b2100;}.cls-2{fill:#ffd952;}.cls-3{fill:#45c8ff;}</style></defs><g
+ inkscape:label="Layer 1"
+ inkscape:groupmode="layer"
+ id="layer1"
+ sodipodi:insensitive="true"><image
+ width="26.416821"
+ height="26.416821"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAMgAAADICAYAAACtWK6eAAAACXBIWXMAAA7DAAAOwwHHb6hkAAAA GXRFWHRTb2Z0d2FyZQB3d3cuaW5rc2NhcGUub3Jnm+48GgAAIABJREFUeJztnXd4lFXa/z/nmZkk k8ykRzoJASmGZgGUpiFUlXVfWXF17fXddXVFwLa7btz3/bEi2HVd31V0Lbsr1hVFkUCUJiKK0kRa IIChpE9mUmbmOb8/QKWkzMzzzDzTPtfFdYXMPOd8CfnOafe5b0GcoGAvmZOlKAl5XtQ8oSp5CNkZ RDbILODYH5EIMu3YIwlAyrGvnUDL0S9FHchmoApkJYgqoApJhVTkXpOkTLrNe+on31Ud2n9hbCCM FhDppJQ83gncgxTEEAQDJXKwgD5Aaoil1AM7QGxCyk0qbBReNjZMmn04xDqiirhB/GHhQpMte3d/ gXkUUo5GMBpJL6NltYukAiG+BLkKVax21DSsY3pxi9GyIoW4QTrAXvJIX4GcIhU5GckYfpoGRSpO YIVAfoiXj+on3r3DaEHhTNwgJ7NwocmWWTZWUUzTpFSngMg3WlKQ2SXhQ1TealjtXEFxsWq0oHAi bhCA4mLFPso2Ugp5mYDLEHQxWpJBVAGLVcnLzlXO5XGzxLhBrEse6WEyqzcANwjoabSeMGOvlGKB 1+Rd0Fh4z36jxRhF7Blk4UKTPbN8KsibEEwGTEZLCnO8SD5C4e+Oytz3mT7da7SgUBIzBskpfcbW JBuvRMqZQF+j9UQmYg/Iv5kU83O1hTNqjVYTCqLeIMkfz+1qMil3ALcC6UbriRJqQDzntZiedI2d UWG0mGAStQaxl87PRpWzgDsAq9F6opQWpHzJm2ApjlajRJ1B7CVzsoSw3C5hBqE/zY5VnFLygsQy xzn+zkNGi9GT6DHI4icT7QlNv0OI3xM3hlHUgfxfR5XryWg5rY8Kg9iXzZsKPAb0NlpLHAB2SuT9 DUV3v2G0EK1EtEHsy+f2E1I8IxFFRmuJcyoSuVTxclskh7NEpkFKi82pXtttUsj/R+THRkU7TQLm 1lc550TitCviDJJS+vBQRRXPA2cbrSWOX2wUqDfXF92zzmgh/hA5BiktNqeqyX+SiPuIn35HKl4h mVNvcv6ZwmKP0WJ8ISIMkl76lzyvan4VGGW0ljh6INYJr3pVJKxNFKMFdIR9+cM3eFXzJuLmiCLk cGkSX9pL5l9rtJKOCN8RpLQ4yS5TnkZyo9FS4gSVVxxW062MvKvRaCGtEZYGsS55pIfZLN8EOdxo LXGCj4QNJg/T6ibNLjNay8mE3RQrrWT+BLNZ3RA3R+wg4EzVzLqU5Y+E3XlWWBnEXjLvRlXIDzia FidObJGtSHWJbdnDvzZayPGEx3aplCJ1rK0YwaOEi6Y4RqAIxEUJ10zMbMkf9TGffCKNFmT8GmTx k4m2xKYXBeIKo6XECSMkbzmSTVcbvXg31CCdlsxLabTwrpSMN1JHnLDl08REdWrl6HscRgkwzCDp pY+le1XPB8BIozTEiQi+EG7TZKNSqxpikNSPHs2UFvXD+E5VHF+QsAGLe1LD2PuPhLrvkBvk2FXY UmBgqPuOE9FsRhGFjsJZlaHsNKTbvBlLH0pDlR8RN0cc/xmIKkvSVv4lI5SdhswgXRcVJ3sU0yLi YepxAmeI2mxanFP6jC1UHYbGIKXFSY5k2/vAmJD0Fyd6EeLcZtX5LoufTAxFd8E3iJTCJpOfB1kY 9L7ixAQSUZSa2PwyUgZ9DR30U2v7+fa5QhJW4QNxooKCpLI15uaXly4PZidBdaB92fybQP49mH3E iW0k8jcNRXc/G6z2g2aQtJL5E1QhFwPmYPURJw7gljC5oWh2UEaSoBgkY+lDPT2K6UsgOxjtx4lz EtWKh3OCcZ9E/0V6aXGSR5jeIm6OOKEj02vmLdY8qnsOZt2nP3av7RmEPEfvdiONkendmZSVT8+k VJJMZpweN07VTa27CZfXzeEWJzsba9jhrOZgi9NouRGPgDPtLu/fHKDrPXddp1j25Q/fgBQv6Nlm pNE/JYun+k9iRFpXn59xeFrY2VjNhvpDrKzdx8qacg63uIKoMnoRiGvqi2a9ol97OpG27OHeKmID YNerzUhjRFpX3h7yC+zmBE3tSGCbs5IVNeW8c3g7n9Xux/CbQ5FDg/DKs/RKKaSPQUqLzXZv8kqE OFeX9iKQnIRk1g6/jpyEZN3b3ttYx78ObuX1Q1vZ5arRvf0o5AtHWuoozrnVrbUhXRbpqWryn2LZ HAB3550bFHMA5FrTuLfXeWw490YWn3U5RZl5Qeknihhmq6u/X4+GNI8gqSXzh0khPyOG75KbhcLO 0b8m0xK6QlabGo7wdPl6Fh7ailfGJ2Ct4FGQI+qK7v5KSyPaRpDSYrMq5HPEsDkA+iZnhtQcAINs OTx3xhRWD7+WsRnxCtatYFYRC1j/nEVLI5oMYlNT7hFwppY2ooHsIE2tfOGMlGzeP3M6LxRcROeE eCWIkxhir6+/U0sDARvEXvJIXwF/0NJ5tFDraTJaApd1GsCX597If3c/C0UYn6wmbJA8mFr6aJ9A Hw/YIEJ4/wokBfp8NLHDVUOjV/OGiWbs5gQe7juOt4ZMC9qGQQRildL7VKAPB2QQ+7J5/xUve/YT jV43H1TuMlrGjxRl5rFq2DWMyehhtJTwQDI5dfm8iwJ51H+DLCxOAOYG0lk0M6dsNU1q+NSE6ZJo 472h05mVN8JoKWGBlDweyC1Evw1iz0qZAZzu73PRzk5XDbd9uwQ1jLZcTULwQP4Ynug/EVN8XdLH ntB8m78P+fVTO5ayZxfxOuRtMikrn6cHTKJTmO0oLTqygxu3fBBWo5wB1Aq3qbc/Sej8Or9IvHpC MYJx/uuKHXY11rDgwDccbHEiEHiRmIRAQWASxiXT75eSxXnp3XjvyA5aVK9hOgwmCbPqbfmH79d0 fR5BbCvm5Ai3ZTcQspQr0YZFKKSZk8i1pnF6cgZ9kzMZYu/EyPTupJg0nWf5zMqafUz75q1YHkmc 0kN+w6TZh315s+/3Qdzm3xM3hybcUqXS7aLS7eLL+oofv28WCmendmZcZh7TOw2gd3LwcqONyejB CwUXcc3m92I1RCVFmJkNzPblzT6NINZlj3Yz491J/NwjJAxP68oVnQu4svMZWIM0srz0/UZ+t+3j mAyjl+CS0pLvHH/noY7e69MaxHrdhD8A52tWFscnDjQ7WFK1m39UbMIrVQbaTiNR0Tfcbai9E27p ZU3tAV3bjQQEWBShNvuSMqjDESR71Vx7c7NSDqTroi6O32RYkvhj/mhu6DpE1zASr5RM3bCQVbX7 dGszgqhJUpJ7Him8raG9N3W4rdLSrNxI3ByGUuNu4q7vSihc/xobHAd1a9ckBC8UXBSrYSkZzarr uo7e1L5BFi40SeTteimKo40NjoMUrf8nfylbo9uBZJdEGwsKLo7Jg0QpuIuFC9udu7b7ov3WEZcg 4mlDfcVuTqBroo1cazq9kzPol5LFEHsnelnTsQgTlW7tiRhUJKtq9/Gl4yDjs3qRrMMiPs+aRpW7 6YSdtRghIzG5bn3Ly0u3t/WG9rd5hbxZd0lRQJJiZlhaF4baO9EnOZM+1gxOT8ns8D7GnsY6Ht37 Oa9UbNK8xbq0qozC9a/y7tDLyLdqnwE/kD+a945sp6K53Sl5NHIzsKitF9scV62lc7ubVWUPMX5b EEARgmGpXTg/oydjMnoyIq0rSUrgKcU+rtrNtZsX4dQhRL5TQgpvDpnGEPtpmttaePBbbtr6geZ2 IgyPB1NeY9FdrW7ntbkGsajKTcS4OQakZPPn3mPZOvJWlp59JX/IH835GT01mQNgYlY+zxdcpEtK mUMtTi7e8DqbG7SX77us84BYvL5rNuG9rq0XW/8/Ki5WbGNSygTE3E/Lqpi5qstAruk6iCH2TkHt 64Yt7/PmoW26tNU5IYWlZ19JrjVNUzubG44wat0/YusAUVDmKJzVGyFO+We3OoLYxthGx5o5bKYE ZuQOZ9PIW3ik3/igmwPg9p76ZWg92OLk59+8SbW7UVM7A205TMnurZOqCEHSy75sfqtpq1o1iCKY HlxF4UOyycJ9vUayddQtPNh7LKeF8ExgqL0z2Rb9+tvlquGWrR9q/vSfnRd7Kc5kG7/zpxqkuFiR qrw06IrCgJ/lnM76ETdwX6+RpJtDH2YmgB5J+l6t+bhqN0+Vf6GpjbNTu1CYmauToshASC6nuPgU P5zyDduYlAsQdAmNLGPoZU3nzSGX8uqgS+ieZGwqYa9UdW/zwV0r2djgUzR3m8zMjbGruoIu9tHJ o07+9qmOESKqR48buw3h8xHXMTEr32gpeKWkvKle93bdUuWObR9rOm0fk9GTPI0L/khDCqad/L1T DCKlnBwaOaHFbk7gpYFTeazfBM3btHqxtu5A0HJqfVV/kFcqNgf8vAB+2fkM/QRFAAJxyu/+CQax lzzSF4i6LYyh9k6sHHYNl57Wz2gpJ/DI3s+D2v6Du1bi0nAY+cvOBcGt8hp+9Etb9vAJv/8nGEQg p4RWT/CZnN2bJWf9UpdwDD15rWIzJVW6l9Q7gUq3ixe/3xjw8/nWdIb5UQgoGvAKZdLxfz/BIFKJ runVVV0G8s9BlwTtVl6gvPT9Ru7Y9nFI+nqy/AuaNSRpuCSnr45qwh8hOWGQ+MkgpcVmJKNDrihI zMgdzjMDJmM2MJPI8XilZHXtfi795i3u2PYx7iDsXrVGRXMDb2k4rY+90BN5AaXFPy5Sf/wi1Ws7 UwoZFUkZ7u11Hvf3OmXHLmiox3ajdrqq2e6qZndjLS6vG6fXTa2niWp3E+VNddS4jUly/a+DW7iy S0FAzw6y5ZBlsVKl8YQ+grAle+yDXLABjg93FzJ0v1FB5KZuQ0Niju2uapZX72FFTTmravaHRYb3 tlhRU055Ux09k/zftlWEYFR6d947okvJv4jArKijONkgEiLeIBfn9GFe3+Dl1K5xN/Huke38++AW PougZAcSeOfwdn7Xc1hAz4/N6BlTBuGoF56GEy9MnWeMFn0Ym9GTFwumBuXq6E5XDY/tXce/D24J 2dpBb0qr9wZskME63DWJKMRPg4UCkFL6cGegm2GCNNIl0caLBRfrnhqnvKmOG7a8zzmfL+CVik0R aw6Az+oOBLybdXpyps5qwhsp6WFbMu80ODaCmFUGR+p/vSIEz51xoa6ZOdxS5YUDX/PgrpW63PoL Bxq9btbXVzAqvbvfz2ZZrGRarH6F0gvgrNQuDLLlkGZO5IjbxdraA+xurPW7f0MwMxBYbgZQpTKI U++KRAT39xrFBTpuRW5uOML1W97nO2eVbm2GC1sajgRkEIA+yRmsq/PNIL/o1J8H8se0Gsv1We0B 7t/5SdgniFBgMLD86CGBIgcZKycwxmT0YJaOUacvfr+RovWvRaU54OjOW6D4Ms0SwPy+RSwouLjN QMfz0rvx8dlX8KsuAwPWEgqkFIPg2BRLSgZFWsxNgmLisX4TdMk06DkW/fqqhuC+SGCHBoNk+VDm +u6887ile8dFjy1C4en+k/i+2UFp9d6ANQUVcXTQUAAEBFwF1Ch+13MYfXVYPDarXq7bvCjqzQGw t7Eu4Gft5oR2X++ZlMYsP24imoTgiX4TSdB5Y0VH+gAo9pI5WURYxahca5ouUyun183Pvl4YM3v8 Dd6WgJ+1mdo3yA3dBvu9i5hnTWNcZl7AmoJMRnrpY+mKoiTkGa3EX/7Sp1BzAGKL6uXKTe9G1IGf Vhye4BnkgozArugWBvhcKHDjzlO8Kr2MFuIPg+2ncVGOthmhKiW3fvth+M5/g0Sj6sET4FmOrYMP pK4BXl3uZvCV5/ZQVNFLERC+Fm6FWbnnar7E8/CetZoiXCOZygCDDh0dTM8yDEh6EWyEEHkKQnY2 Woiv9E3O5Gc52ipQr6rdx9w9a3RSFHnscAa2k7XTVdPmaxahBBzF0KBh2hdspJSdFBDZRgvxlbty R2ja1q1yN3Ljlg9itTYfcLQctL+oUvJB5c42X7d1sMPVHg5vc8DPBh1BtgIyy2gdvpBuTmJap/6a 2nhg54pYzF5+Ai9XbPL7Z/DmoW3samcE0TK9agjnUB4psxQgIkaQX3TqrykYcW3dAV6t2KSjosjE 5XVz3ZZFPtdK39tYxz072i/lp6Uqr5adtaAjRLYCRESoppYUNKqUzPyuJLYSMrfDZ7UHuGzj2x0G H35Vf5DJX/27w9uEWg5s9zfrnxdMNyRZZiKg9rnW7BrvV+5kkw7lAaKJ0uq9nLV2Abf3PIdpp/X/ MXZKlZIv6it4pWITr1Vs9mm9piUcvr3Fv9FISDEDga+wQsT0zgM0be3O37NWNy3RRLW7kQd3reTB XSuxmixkmpM43OL0+96Llg8vLfFhwUYgEyPCIIGe0sLRT8qvHR3Wi495Gr1uDgSwYM60WClICWwZ e7DFGd5rEESCQpgbxGqycHZq4Lm0X44vzIPK2IweAW+9bw/zawUSEsPeICPTugW8e+XwtLD4SNv7 93G0M0lDEvB1dd/rqER/xDGDhDVaEpe9ffg7GlWPjmriHI/VZOGS0wLPvLiiplxHNcFBAcJ5Eshw DQvAj6t266gkzslMzenTYZRvWzSrXj4P8xFEQnPYGyTQPXb1WKrPOMHj6i6B39T+vO5A2I/uItwN kmZODDhbycaGw34XtDQLha6JNlLNiQH1GUucc6xufKB8UhMJVw1ki5kwNoiWA6gvfMyaIYBpnfpz XdfBjEzv/mOy64rmBhYd2cHj5evY3+QIWEe0oqXQpwTdyl8HE4loNgNhG72nxSC+hHVnWJL4x8Cf tZo2qEuijVu6n8nVXQfx22+X8MahbwPWEm2cae/MZA2loj+r3c8eDffjQ4UApxkI283obkmBR8F0 dEJrVcy8PWRah2csVsXM8wUXAcRNwtFEffP7FWmKbPj3wa266QkylQrISqNVtEWgOyQAuxrbj/H5 ff4onw8gBfBk/4l0TkgJWE+0cH3XwQzTcHDbpHp45/B3OioKKpUKiLAdQewaFsvt1eJINyf5lL/p eFJMFn7b85yA9UQD3ZPsPJA/RlMbbxz6ljpPGF+SOgFZpSAJ4xEk8Mwl7eXUHZ+VF1Cl24s1XveN ZMxC4YUzLibDEvjlKK+UPLZ3nY6qgo1SqYAI20i+QKdYHWXv6Jsc2CXKvKQ0LGFS0i3UPJA/mvPS tRUAeOvQtrAObz8ZITisSEXdY7QQvantoNRZSoAjkyKETyk4o43pnQfwu9zhmtqQwKNBLnutN1Kl TDGh7DFaSFt83xzY+cOBDp7TkmEwRUOCgkhkYlY+zw6YojnV0tuHtrHVGbaz+VZRFVGmyBYluMW6 NbA8wMRuy6r3tPt6MFNwRhOj0rvz8sCpmqeVTq+bP+z8VCdVoSPB696j1E++qxoIy1ObkqqydrNp tEaT6uHl79u/A6IlF1NqjIwgF2X34e2hvyBZhxrzc8pWdziqhyE1NRPurfvhoyEsL024pcpd20v8 ymM1p2wN+5raTwSgJdVMj6SIyvMdEDd2G8Krgy7BGsBO38lsdVbyt31f6aAq1IgdcKz8AYiwvXZX Wr2X325b4lOammf3fcUTPmwjVrpdAeuJ5np9ySYLfxswhcf6TdClGKpXSu7ctjRCazuqG+GHKrdS btK8Cgsir1Vs5ltnJXP6XMDIVkqI7XLVULxrJf85st2n9rRsNUarQQps2bxYMJX+KfrlEXyobA1r 6yIze74QRweNozUKYWO47+7/kKOplzWdc9O6cVpCMjWeJjY5jvC146BfOa8ONDto9LoDKqEw2BZd JZGtJgt39hzGzNwRuhazWVFTzvy9kZtNRlXVnwwiEtzf4Na+GAsFZY21lGmslKpKya7GWgbacvx+ Ns+aRo+k1A7XOeGOWShc1mkAD/QeTbdEfUsQHGxxcsOW9yM7B3KCdzMcW4M0jL3/CBBT1++05GPS ck/eaBIUE9d3HcxX597Ic2dM0d0cTaqH6zYv4nBL4Os8o5FQfswT/DizEhBTNQE2Og4H/Gw4V0Vq iwEp2TzYeyxbR97KE/0ntlmFVgteKbll62LWRP5V51U/fPHTPp5gNZLphsgxAC0ZNS7O6UOKydJu QKTRZFmsjMnowZiMnhRl5pFvTQ96n3d9t5R3D/u2URLWCLH6hy9/NIhArJYxlN55g+MQDk9Lh9Vb WyPZZOHinNN53aCLP5kWK7lJaWRYkkgzJ2I3J5BispBvTef05Ez6JGfQIyktpBuT/7t7FS9+vzGE PQYPSSsGqRMN39hlSgMRkMxaDzxSZXXtvoCvjl7ZuSDkBpmS3ZsZucMZntpVl/rweiCBP+78lCfL vzBail7UOyt7/FgT/Kfd3cJiD7DSCEVG8amGadYFmbkMCmAXLBASFBPPDpjM64P/i3PTuoWNOdxS 5dati6PJHID8hOnTfzyVPuH4Qwg+Cr0g43jvyA7UALciBTBTQ2YPf3ii3wR+1WVgSPryFZfXzS83 vhNJ98t9QnKiB048H/TID0OqxmD2NdWzpi7wHZef5/TVVDzGFyZn9w47c+xwVTP+y3+ytCpsA8ED xiQtS47/+wkGqZ949w5gV0gVGYyWT0BFCOb2HaejmlOZ0VPbRSW9ef3gVsZ+8Qqbo7Mg0ba68TNO yFd7SoSJhJgaRd45/B2NGrZrizLzNJembosMSxIjNOQm1pNGr5vfblvCzVsXh/X2thZOnl5BKwZB 5a2QqAkTHJ4W/hNAaeTjeej0cUG5SJWblBYWC/KPKncxfN1LHd6ziXSEkG+e/L1TDNKw2rkCCO+0 2zrz6N7PA16sw9F0OE8PmKSjoqMIg0Os9zbWcfnGd5i+8R32RkAmRI3sd6xwfXbyN08dQYqLVYmI qVFkm7OKxZXall6XntaP67sO1knRUfY31xtydFvlbuTPu1cy/PMX+VDjzyViECykuPiUiyutRrkL lYXBVxRezNOh0OfcvuM4R0PWwZM50uLia8dB3drriIMtTu7f+QkFa/6P+Xs+D/vyBHoiaP13vlWD OFY3rAEiIT+9bmxwHKRE47ZlkmLmjSGX6rr1+1T5et3aaosv6yu487ulDF7zd54uX48rShfhbSN3 1xfOavUqauv3pIqLVSnFgqBqCkN+v/NTzddDsyxW3h36C7on6RNG/tahbXxQqX/KgPKmOh7es5az 1y6gcP1rLDjwDU0xNGKcgOQFhGh1NtvmKtBaOre7WVX2APpdM4sA/tx7LHdqTJIGRw8hf/71m7rU AU8xWXix4GJNJQcavW4+qzvApzXlfFpTzoZ6/25hRjEer1fNdU28p9WNqXa3SezL5n0AXBgUWWGK 1WThixHX0TNJ+32JGncTl218W5dqrooQXNVlIDNzR9Crg9D1A80Odrpq2OmqYYermq8dh1hfX+FT 4ovYQ77nKLr7krZebd8gy+ddguRd/UWFN1NzTue1QW3+zPzC5XVz53dLdY1Z6peSRb41nSTFjMPb gtPbgtPjpt7bzOEWVwyuITTxM0fR7EVtvdj+RvvChSZ71t7vgMDH9gjlrwMmc5WOMVD/PriVO79b Gv/lDScEZY7K3NOPj949mfaTmUyf7hWCJ3UXFgHM3L6Mb3XMJfvLzmewatg1FGZG3nXdaEUi5rdn DujIIIDVzQuEcZm2YNHodXPt5kW6fuL3Sc7gP0Mv46WBU3VPlhDHb6pT3PIfHb2pwx0q56tL3YnX TsoARusiK4KodDeyv9nBVJ2DEQekZHNz9zPpkmjjW2dVBFVcih6EkPOqJ9z9cUfv82kL13zTlK2K qv4GiIzkWTqyueEIqpS6p/oxC4WzUjtzc/cz6ZeSicPbQnmTMaElMYhTesRVLa8udXb0Rp8M4n5x SUPitROyQJynXVvksbp2P1kWq89FP/3BJAQFthyu6FzANV0H0zkxBVVKDrU4262SFUcDQjzeMGH2 f3x6q69t2kvnZ6PK3UBMTp4VIXjhjIuY1ql/SPprVr2sq/uerxwH2emqZoerhl2uGuo9zTEVIxUE GqSH3g2TZvuUGM2veGr7snkPAfcEJCsKSFBMPH/GRfz8tL6G6vBKicPbTLW7iX1N9ZRUlfFqxWaq 3I2G6ooEhOR/6sfPfsDn9/vTuL1kThbCsgvQPy1fhGASgnl9i7ip21CjpZxAvaeZe3eU8mrF5o7f HLvUmBRzfm3hDJ+TO/sVZ9Xy8rLGxGsmuRFM9F9bdCCBJVW7kUjGhFGO3kTFzEU5fXB53XyuQ2hL NCIE99aNm+lXLTi/qx44qhueAqIgv6Q2Hir7jN98+1HYrQce7D1Wc7nmKGVbfWrqs/4+5H9ZkOnF LcAsv5+LQl6t2EzR+td0idjVC0UIivPHGi0j7BCIuzjnVr9PfQOqm+Momr1IIpcG8my0sbnhCGO/ eMWwPL2tcW56N93uo0QJi+uLZgWUrSfgwlKKl9uA+LYJR8sc37x1MTdu+YBDLR2ePQUdQfRVwgoU CS4FeUegzwdskPqJd+9A8D+BPh+NvHHoW85eu4Dn9n9leHWldEuSof2HC0KKB+qK7g4484Sm0oQO 4ZwnIRJr/AaNek8zs7cv5/z1r/BZrXEFLCO5wpOOfONIt2uKRteceCmtdO45qqqsJcau5vrKeend mNFzuKbrsv7ikSr5K/9KracpZH2GIR6vqgx3TZi5QUsjmn+pm/9R8n3SdRNMIM7X2lY0sr/JwRuH tlFSVUZOQgr51oygZ0tcVLmDfx3cEtQ+wh0pKHaOn/W61nb0+Z8qLTbb1ZQVQEwGM/pD10Qbv+g0 gCs6F1Bgy9a9fafXzegvXmaXhlrwUcBqR1Xu+R1dhvIF3T7K0koey1eFZwOQqleb0c4gWw6XdurP BRk9GWrvjEnjyNKkerh286LYyYbYOnUmxTO0tvC+PXo0putYby+Zfy1CvqRnm7FCqjmRUendOT+j J2enduH05AwyLVafn9/YcJjfbVvKl/UVQVQZ/gjBr+rHzf6nbu3p1dAP2Jc9/HcQN+ndbiySabHS JzmDvsmZdEpIIfVYwU6b6WjRTq+UR6N5q8uMp1MEAAAFLklEQVT4tKZcUwLuaEDAs/VFs3+jZ5vm jt/iH47mpN/aE5uHAMP0bjvWqHY3sq6uUZe8WjHA5/XNiTP0bjQo2ynWJY/0MJvVL4HQVLmME+sc 9ijq2Y2F9wReT68NNB0UtkXjpJn7VKFcAYRXqGucaMQtVS4PhjkgSAYBcI6buQzJfwer/ThxAKTk 9oYJsz8JVvtBMwiAY/zsF5D8JZh9xIldhJB/bhg/+7mg9hHMxgGQUtiXz38ZuCrofcWJGYQQr9cX zryirbIFehHUEQQAIaRDcd4skMuC3lecmEAil9Y3JVwbbHNAKAwCUFjcZHO5fgasCEl/caKZz6xK yqVceEdI0lGGtIxq5uInU90JzcsQnBPKfuNEB0LytUj0jKsbc1/IAs1CXmf4WAK6UkC/2gJxYgC5 CekpdIy/P6SJ1EMzxToOR+GsSiXBMxb4PNR9x4lMJHyFoowLtTnAAIMA1I25r8aseicBq43oP04E IVhlUb3jHIWz9CvW4geGGASgZsK9dXaXc6KEDlPQx4lVRGmSSJ5SM+HeOqMUGGYQgO+nFrsaqpxT gVeN1BEn/BCINxxKw4VHCm9rMFZHOCClSF0+/08S/mS0lDjGIyVPNhTNujMU5xwdER4GOYZ92bzr geeIwUI9cQDwSMlvgx0+4g9hZRAA27J54wT8m3iofKxxWKpcHszAw0AIO4MAWJc92s0sPW8ixLlG a4kTEr40IabVFs3aa7SQkzF0kd4WjUV3HXC0JF0gZWyWoI4ppPw/R5VzZDiaA8J0BDme1GXzr5bI p4lnS4k26oTgN3omWAgGYW8QgPRl83O9yFeAMUZriaMDUq5VBFdpyZkbKsJyinUytUWz9joU5zgJ xcSv8UYyHil4wFGdNzoSzAERMoIcT0rJvMGK4HniWVMijW8URb2prvCe9UYL8YeIGEGOxzl+9kaH 4hwppLgTMPSUNY5PNAL3OhTnOZFmDojAEeR40pY93FsV4mkkk43WEqdVFivSfHvd+Bm7jRYSKBFt kB9IKZk3XhHicZAFRmuJA8B2pJzpGH/3+0YL0UpUGASA9c9Z7LX1tyP4I5ButJwYpUZI8WB9uv2v gRTMDEeixyDHyF41197crPwGuA9IM1pPjNAAPKMkeOaG8jpsKIg6g/yAvWROFsIyW8LtApKN1hON SHAheV5imeMcf+cho/UEg6g1yA+klDzeySTct0v4NZBptJ4ooUogn1U94qmGSbMPGy0mmES9QX5k 8ZOJqUlNl0sp7gf6GS0nIhGUCVU8YfXK5w9Nmm18vesQEDsG+YGFC032rL0XAjcDFxIvPtoRHpCL QTzvqMpdrEdZs0gi9gxyHNZlj3YzoV4vhLwBSS+j9YQXcrdELFC96ouuiffEbIGSmDbI8diWP1Sg SNNlEnk1iHyj9RjEASl5S0jxhmP8zNXhcOXVaOIGOZniYsU+OnmUFEwTiClAX6MlBZnvQC5GVd52 rG5YQ3GxarSgcCJukA5IK3ks36t4JwvJFJDnA3ajNWmkHvhUIj80ecRHdZNmlxktKJyJG8QfFi40 peTsGWRSxWgVOVIRYrSU9DBaVnsIwT5VylUKYo1XKCudlT02x9pCWwtxg2jEXjo/W5ViiEmqg6Rg ICpDEPQGMkIspQbYiWCjUMUmVchNQhEbjcpIGC3EDRIk0ksfS3fjzlNU0UtArhSiCyrZILNQyEKS BTIRRBpHrx1YANuxxxsAN6CCrAPRjKAKlSoQVShUCikrJOxVFVmW4FHLjMw+GM38f4EawqbWMRX9 AAAAAElFTkSuQmCC "
+ id="image1263"
+ x="134.51895"
+ y="20.857262"
+ style="stroke-width:2.00314" /><image
+ width="23.48313"
+ height="25.232662"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAA7kAAAQACAYAAAAkxZ7zAAAABGdBTUEAALGPC/xhBQAAACBjSFJN AAB6JgAAgIQAAPoAAACA6AAAdTAAAOpgAAA6mAAAF3CculE8AAAABmJLR0QA/wD/AP+gvaeTAAAA B3RJTUUH4QgeDTYJaWKzaAAAgABJREFUeNrs3XecnGW9///3dc9s7+nZBEjb3WQ3BQi9IwKCIqCC ePQolp8oSBryBfUcz1qORw9KErDh8Yj1qICiKFaaSpESSpJNsrtppPfNlmybmfvz+yOhBFK2zO7e 9z2v5+ORhwjJ7Mz7mtxzv+e67ut2AgAgJKbc0VicF++I+RbL8bqz8iVJXqpMklIpL9+cy/FcKmZy xZLkmYrM8+Iyy5asYP+juFLJOZOf58zlypPnzJUc9IOclR30/03ZJldw8LOxQklZr/9T+x/79fwc yeUf/hVZu+R1veHf7ZVkr/sXCcm1HfT0ZPvk1H3wH3NNB/9fa5Yv35x1OnkdktmBx5bk9sm5buf7 Sd+p9cBjtvgWSzmzrljMb9//9GNNkuRnJ9o9l+rqSOalVs+paOGdCAAIMkcEAIB0Of32jXl7svaV ZSe93JS5vFgsmevLy1PKKzMvlevk8pyzMjPlOnN55lzugbJZ5pzLNVmepDLJ5Wr/P+dKypM0XFI2 CQdKp+Q6JOuU1LH//6vJOXWYqVOmJkkdr5RsZ9Ypz5pMXofMOuV7Tb6zDs+zTk9+RyoV70xlpZqy Y90dw3fsbn2s9vwkEQMAKLkAgD4V07bcptzuVHZeLBErczG/TCmvTJ5fJudynfw8+a7Md1bmzJXJ qewNRbRM0khJcdJEekv0/qL8un9ukqnJnDUdVJzNNcn3mhTzmyzlNcWcdXTH/c763VXbVOt8ogQA Si4AIEzMXOU3GoZ7zh/msuLDZP6wmNkwOQ03c8MkDZNsmJwrkVQsX8VyKt6/tNZKJHmEiIjyJdcs 2V6ZWuSpRVKLTC1y2iPTbudsj+T2yHd7UjG32xLJPb55exraKvdQkAGAkgsA6KdXlvu+aUZVNtak 8oNnUl/9xSwqMDBem0F+3UyynNvinL/1jTPIqaxU09jdW3ew1BoAKLkAEFk1tXXZyeGxEbFErMyc jfWcle+/JtUbK7Py/YXVjZWsnLIKRKocb5G09UAx3uKkrfKsyTdtcc5ttZTXlEqkNtTfMrWVuACA kgsAQ2rC3etyc9q6hvWwuI4Wy4EBHLkQN0lui2Rb3zhT7Jvb4sxtTWWlmupvnLqFuACAkgsAPVdr 3rSSlaM9Fxsv2VjJHeucys3XeDmNlzRW0jGSCggLwBDYJ6fNMrdFZhvltNmc22K+bfRMW5JZtonN tgCAkgsgQ7yybDjbt7Epc5M8p/JXZl6dp7FmmnSgwGaRFoCQn941SVp7YGZ4i5O2mue2+L7WOnNb WSYNgJILAGEosd+qG+N1Z0/0PZvozCb4smOc3HhJ4yWVSxpFSgDwqp0ybZGnTfK12clt8OWv9zxb l/DcepZGA6DkAsAAm/HtpWWpzrxyczbW8zRJpklOmmTSJMkqJRWREgCkTbekTc5prflaK2drJbfV N7clLq1dNq9inZwzYgJAyQWAw5hw97rcor2J8qQ06RAldrKkUlICgMDolLTl9SXYpLUxZ2tjfu6a F+dP3EtEACi5ACKv6murirJzrdL3Y5XmWaUzVUmaImmipBEkBACRsUdy6yRbLXMN8rRKZo25nfGG JbdObiYeAJRcAKFxXu2j8d0l4459ZUbWzK/xnKs+sLHTRI47AJDxp59NktY6aa3MVvjO6mLO1mbn lqxccl15O/kAoOQCGBIzvr20TImsSb55NZKrft3S4hpJuSQEAOiDrc6p7tXrgP3YCs9Z3bLmivXc GgkAJRdA/9WaN33Y6omplD8jJldjzqY7WaXJVUoqJCAAwOCwdsk1mKnBOdVJrs53yaXTx05be+/V LkU+ACi5AN5kxreXlvmJnBrJVZv5NU5utqRZlFkAQIB1S1rt5OpktsLMW+I5q2P3Z4CSCyCD1NTW ZVtxdoXz/NmSqz6wvHi2pLGkAwCIiL2S6mSqc56tSPleXSplLzZ8umoX0QCUXAAhdV7to/EdxeOr PM+fbs5myHfT5TRDbP4EAMhc6yUtl7Rc5pY659fl5LWtXHLdSQmiASi5AALkqnssVrdp9VTn+bNN Nnv/UmM7QXL5pAMAwBElJDXKtMR5tsTklnQ579nVcyq6iAag5AIYJFV3riqPJ2KznfNnm9NsyZ0p WRnJAAAwMMVXTcln6mpruokGoOQCSHuh1RmShpEMAACDqltyy0z+E05uifnekhUtU1ZyayOAkgvg CGbeuWpi0tfJzvdmy9lJcpotUwnJAAAQSK0ye16eWyLfPed7yedWzq1uJBaAkgtkpPNqH43vLhsz y+TOMt/NlnSOnI4jGQAAQq3FOT0jX0+k5B7Pzy98csl15e3EAlBygcip+VbdGHVln+ycP1uezjTT WZJySQYAgEhLSmqQ6XE5PWG+t2TFgoo6YgEouUDoVN25qjyW0pnO3FvldJakalIBAACStjq5x+X8 J5zs8WVzpj4v54xYAEouECgzFjZM8p2dJdOZcrpI0gRSAQAAPbBd0rOSPe45e2hZ09QX2NAKoOQC g27a4hUVzuJvkdlbnNN5kkaRCgAASIM9Tvq779wjzrmH6+ZUrCASgJILpN30RWtH++o+58DyY2Zq AQDAYNnh5P5mTg/FPP+vS2+cuo5IAEou0GtVX1tV5GW7CzxPb5XpAklTSQUAAATAGkmPmOyvsezu h5ZdP7OJSABKLnBIMxY2TDLPv0xy7zDT2ZJySAUAAARYSnIvSnrINz00unnzY4/Vnp8kFlBygQxV c3vdMPNiFxxYgnyppPGkAgAAQmy3k3vEnB6Kp+zBlxZUbSYSUHKBiHvDbO25krJIBQAARNQKyf1O zn5fN6fyCW5VBEouEAFX3WOxFVsbTpe5d0j2TknTSAUAAGQe2yBzf5J5v++K6y+r51R0kQkouUBI 1HyrrtAl4m836QrJXSxZGakAAAC8qk2yP0vugSzLfuDF+RP3EgkouUDAHL9wXWm367rQmbtMTu+S VEAqAAAAR5WS9E/n7F6Lp35Zd0PNNiIBJRcYIjW31w2TF3+HpKskXSQpm1QAAAD6X3hjKXcfG1eB kgsMguMXritNqutd5tw1ks6XFCcVAACAtPPl9IRMv8j2vHtfmFOxk0hAyQXSZMLd63ILmpIXyvlX ydm7JZdPKgAAAIMmJemfcu7HXc79YvWcihYiASUX6KVXd0X29a9yukZSMakAAAAMuU5JD0m6Nzev 6L4l15W3EwkoucARTF/YMM2cPiTZtZJGkwgAAEBg20SzfP1Snn5SN7fqcQIBJRc4YPZX15R05qXe K7MPSjqTRAAAAEJnpWQ/csr+4fJ5k7YTByi5yDy15tWU1V8kc9dKulxSLqEAAACEXkJyf/TN7s7P b31wyXUnJYgElFxE2vRFa0ebuq+V3HWSJpIIAABAZG2T3I9SlvruqvnT1hMHKLmIlBmLV872fe/j cvqgmLUFAADIJL5zesQ3+96ovVvvf6z2/CSRgJKLUDp+4brShLqulXPXSZpKIgAAABlvvZm+F0/G /nfpzVN2EAcouQiFGQsbJvlOH5fsOkmlJAIAAIA36Jbpl575ty1bMG0ZcYCSi0CqWVx/ljM3x2Tv khQjEQAAAPTAEyZbXFNe9et7r3Yp4gAlF0NbbGvrsl1p7GpJ803uRBIBAABAH60yuUV5eYU/WXJd eTtxgJKLQTXh7nW5hc2Jj5vs05KOIREAAACkyU4nLUp02Z31t0xtJQ5QcjGwas2rLq1/t5P7mrgF EAAAAAbObslu21eSs3j9hyd2EgcouUi7aQsb3up5drtMM0gDAAAAg2SjnPvyyKbNP+D2Q6DkIi2q FzWc6pzdIdMppAEAAIAhUmdOc1fMrXqYKEDJRZ9M/ebK4V7Sfd7JfUqSRyIAAAAIgN+nzL9x1fxp 64kClFz0yHm1j8Z3lo37iMy+Imk4iQAAACBgOpzpv9tKs7/K9bqg5OKIZtzReK5v/p1cdwsAAIAQ 2Cjp3+rmVf2YKEDJxUFqvlU3Ron4IknvJQ0AAACEzB9jMbth6Y1T1xEFJRdQ9aJVVzm574ilyQAA AAivDsm+UF1e9fV7r3Yp4qDkIgPNWNgwyXf2XUkXkgYAAAAi4kXfvI+unF/xPFFQcpEpas2rKW2Y K+k/JeURCAAAACImYU7/mZfb+pUl152UIA5KLiJs2jdXHOclY3dLOp80AAAAEHFLZe5DdfMrXyQK Si4i6MC1t9+TVEoaAAAAyBCdktVyrS4lFxEyfdHa0eYS/yvT20kDAAAAGerxWMw+yA7MlFyE3LSF DW/1nP1Y0ljSAAAAQIZrMbPrV8yf+jOioOQiZGbf9VxWV3vR58zp3yV5JAIAAAAcYPqJspPX191Q 00YYlFyEwMxFq6pScj+XdAJpAAAAAIe0zve8f1k5p+KfRBEdzO5FUM3ChmtTcksouAAAAMARTfR8 /281C1fNkxkTgBHBQEbIlDsac7L91H87uTmkAQAAAPSC6bdZyr72xfkT9xIGJRcBULN4zbFS8l6Z TiENAAAAoE/1qNHzU+9etmDaMrIIL5YrR8D0hQ1vl6VepOACAAAA/WEVvuc9M33Rqo+SRXgxkxti V91jsZVbG75kplsZSwAAACCNdVf23W4vNm/1nIou0qDkYhBUfW1VUTzH+6lk7yQNAAAAYECq7lPK Sr2r7oaabWRBycUAqrl99RR5qQckTSMNAAAAYEBtlnRl3byqZ4kiHLgmN2Sm39F4kTz/GQouAAAA MCjGSfr79EWrPkAU4cBMbojULG74uMy+JSlOGgAAAMCgMsn9d93eis+q1vnEQclFP0y5ozEnJ+Xf JacPkQYAAAAwpAXqN5aV/Ne6G2raSIOSiz44fuG60oRL3C/ZeaQBAAAABMLSmOe9femcik1EQclF L8y6vX5c0ulBOc0iDQAAACBQNsvT2+vmVL1EFMHCxlMBNeP2lTOSnv5JwQUAAAACaZx89+j0hSvP IwpKLo5i+qKGC33Pe1zSeNIAAAAAgsrKzHl/rl646v1kQcnFYVQvbviwyR6UVEwaAAAAQOBlO+d+ Mn1hfS1RBAPX5AZIzaL6z0v6AkkAAAAAofTNur2Vc7nFECUXZm764obbTLqJMAAAAIBQn9z/X25e 27VLrjspQRaU3Ix01T0WW7Gl4S5JHyUNAAAAIBJ+X+znX/3UgmM6iIKSm1FqauuyXWnWT012FWkA AAAAkapajyW7/HfW3zK1lSwouRlh9l1b8js72n4t2cWkAQAAAETSc4mkLmn4dNUuoqDkRtrxC9eV Jlz37yWdSRoAAABApK2I+7ropQVVm4mCkhtJlV+vH5EV118lHU8aAAAAQEZY7VKxtyy/acpGoqDk Rsr+GdzEQ5LNJg0AAAAgg5heTsk/b9X8aesJg5IboYLb/VdJJ5EGAAAAkJFWx32dx9LlgeURAQUX AAAAwKCYkvTco7Nurx9HFJTcsBfcv1BwAQAAAEhWQdGl5IbW7K+uKTlQcE8mDQAAAAAUXUpuqAtu Z16SggsAAADgsEW36s5V5WRByQ1+wb1rS35nbvL3Mp1CGgAAAAAOV3TjKe+xmbetHkUWlNwAF9zn sjo7Wu+TdBZpAAAAADha0U1lpX5f9bVVRWRByQ2eWvM6O4p+IukSwgAAAADQQyfHc9xvJ9y9Lpco KLnBYeaml9Z/W9J7CQMAAABAL51fsLf7F+fVPhonCkpuIEy/o+E/Te46kgAAAADQJ06X7ywt/1+Z OcKg5A6p6sUNN5rpMyQBAAAAoJ8+WL24fhEx9B3fEPTT9MX1/2qmH5ElAAAAgPQ1Nbulbu7U/yYI Su7gFtxFDe8w2f2SWDcPAAAAIJ1M5j5SN7/yh0RByR0U1Xc0nuB8/++SCkkDAAAAwABI+OYuXTm/ 8iGioOQOqKo7V5XHU+6fko4hDQAAAAADqMV3qTNXzq1eThQ9w8ZTvVTzrbrCeMo9SMEFAAAAMAiK PYs9MH3R2tFEQclNu6vusZgSWT+TdDxpAAAAABgkE02J382+a0s+UVBy02rl5oaFkr2TJAAAAAAM spM7O9t+pFqjw1Fy02P64lVzzelGkgAAAAAwJMzeU13a8CWCODI2nuqB6oX1lzin30mKkQYAAACA Ie26cp9YMa/yLpKg5PbJjNtXzvA970lxqyAAAAAAwZDw5d66cl7l34mCktu7gvvtpWV+d84zkqaQ BgAAAIAA2R7zvJOWzqnYRBQH45rcw6k1z7pzfkrBBQAAABBAo1O+f9+UOxpziIKS2yM1ZfVfNOlS kgAAAAAQUKfm+P73iOFgLFc+hOrFqy535u4nHwAAAAAh8PG6eVX/QwyU3EOauWhVVcq5p2UqIQ0A AAAAIZCQ01vq5lY9ThQsVz5I1ddWFaXkfk3BBQAAABAiWTLdU3XnqnKioOS+xszFc70fSKomDAAA AAAhMzaecvfW1NZlU3IhSZq+qPGzMnsPSQAAAAAIqTOsNHZbpofANbmSqm+vP9t5elRSjDQAAAAA hLzkXbN8XtUvKbkZasa3l5b53dkvSu5Y/joAAAAAiIC9KfNPWDV/2vpMfPGZvVzZzPldOXdTcAEA AABESGnMeb+YfddzWZTcDFN9R+On5HQ5fwcAAAAARMypne3F/56JLzxjlytPW7xiumexZyTl8f4H AAAAEEG+OV20Ym7Vw5TciJt520sFqazcZyVN430PAAAAIMI2J5I6vuHTVbsy5QVn5HJlPyvnTgou AAAAgAwwLiuuH8ssYyY4M67kVi9adZXJfZj3OgAAAIAMccn0xY03ZsqLzajlyjMWNkzyPXtephLe 5wAAAAAySJd53ukr5lS8EPUXmjEzuVfdYzHf2U8ouAAAAAAyUI7z/Z9NuHtdLiU3IlZuaVwg6Qze 2wAAAAAy1LSC5q4vRv1FZsRy5Rl31k/1U3pe3C4IAAAAQGbz5XRu3dyqx6P6AqM/k1trnp/S9ym4 AAAAACBPpu+ffvvGvOi+wIirKWv4f5LO5L0MAAAAAJKkqma3L7LLliO9XPnAMuUXJOXyPgYAAACA V/nm67wVC6r+EbUXFtmZ3PNqH437vn5EwQUAAACAN3dBF4vmsuXIltxdpeX/T6ZTeO8CAAAAwCGY Klti7V+O2suK5HLl6Qsbppmz58UsLgAAAAAcie/Lnb9yXuXfo/KCIjeTe17to3FzxjJlAAAAAOhB J/Rkd9d8q66QkhtQu8rGzZN0Mu9VAAAAAOiRSUpk/VtUXkyklivPvKNxfMr3V0oq5H0KAAAAAD2W dLLZy+dNXRr2FxKpmdyUn1pEwQUAAACAXoubc9+UWegnQiNTcqff0XiR5N7NexMAAAAA+sB09vQ7 Gj4Q9pcRieXKU+5ozMkxf6lMlbwzAQAAAKDPtnvZXdOWXT+zKawvIBIzubkp/zMUXAAAAADot9F+ d06o750b+pncmttXT5GXWiZuGQQAAAAA6eCb3Bkr5lU+HcYnH/qZXOelFlNwAQAAACB9PdFJ37rq HotRcgdZ9eJVV5t0Ke9BAAAAAEgnm71iS8N1YXzmoV2uXPW1VUXxHLdS0jjegAAAAACQdi2+paau nF+9NUxPOrQzuVk57j8ouAAAAAAwYIo9xb4WticdypncGQsbJvnOVkjK4X0HAAAAAAPGPPPOXDa/ 4qmwPOFQzuT6nr5GwQUAAACAAefM+V8N1RMOW8LT7mg8zfP9JxWB2x8BAAAAQDjY5XXzpj4Qhmca uplcz/e/TsEFAAAAgMHk/nv2Xc9lUXLTrHrRqqsknckbDAAAAAAGVVVXe+HHQlHHw5Lo7Luey+rs KK6TrIL3FwAAAAAMup1dnjdl9ZyKliA/ydDM5Ha2F95AwQUAAACAITMyx0/dHPQnGYqZ3OMXritN uO7VkobzvgIAAACAIdPhUrGq5TdN2RjUJxiKmdxudX2OggsAAAAAQy5PseQXgvwEAz+TO3Xhygkx 562UlMv7CQAAAACGnO+bd/LK+RXPB/HJBX4mN+a8r1BwAQAAACA4PdJz/m1BfXKBnsmtWdhwvJw9 L+6LCwAAAADBYnZB3fypjwSugQc6NKcvUHABAAAAIIh9zX0pmDUyoGYsXjnbN+9ZSi4AAAAABJX/ trp50/4cpGcU2Jlc37wvU3ABAAAAIMhi/ymzQPW2QJbc6YtXniHpbbxhAAAAACDIbHbNwtXvoOQe LSbzvsSbBQAAAABCIOb/p2otMN0ycCV3xsLG0yW9hXcKAAAAAISAaUZ1Wf1llNzD8J39B+8SAAAA AAgPz/T5oFybG6iSW31H4wmSXcRbBAAAAADCw+ROrFm8KhBdLlAl1/P9z4sdlQEAAAAghFwgVuUG plDW3NFYLd9fpgDf1ggAAAAAcHie5523bE7F34b0OQQmDd//NwouAAAAAISX7/ufG+rnEIiZ3BkL Gyb5zhokxXhbAAAAAEB4Od9OXr5g6nND9fMDMXPqO7uJggsAAAAA4ec7d/OQluyhDqDm9rph8uIb JBXwdgAwkApzPJXkxFSS66k4JyZ34AhYlBN79WBYmOO96du/9oSvpL//n1u7UjJJZlJL1/5/2dad 0p6OlNoO/H8A6M+JWWleTCW5MeVnefKcVJSz/6gU95zys/b/c3bMKTd+8Glc0je1J+x1/+wf+Gep pTOl5q6UWjr9V/89AAyglPO8quVzKtYMxQ+PD/Wrt1j8RmcUXAB9U5wT0+iiuMqL4hpblKUxRXGN KYyrNC+m4tcV2pLcmLwB/lov5Zv2dvra25nS3o6U9nam1NyZUlPH/hK8rTWpTS0JbW5OaG9nisED MsjIgrjGFWdpfEmWRhfGVZYXU2nugV95nkpz9x+nSvNiAz4DkUiZWrp8tXTtP0a1dPpq6kxpS0tC 29qS2taa1LbWhLa0JtVBIQbQNzGl/LmS5gzFDx/SmdzZd23J7+xoXS9pJO8DAIdTlONp8rAcTRme rcnDsjWhLFtji7I0tiiuguxw7lfX1u1rc0tCm5r3/9rcktCmloTW7enWpuaEjGEHwnU25zlNKsvW saX7i+y44iyNL87SuJL9/z8nFs47JO7tTGl7W1KbDxyfVu/u1po93Vq7p0udSY5UAI7E2hNJd1zD p6t2DfZPHtKZ3M6O1mspuABef5JYMTxbNaNzNXlYtqYMz9HkYdkaXRiP3GstzPZUNSJHVSNyDlmA 63d2adWuLq3a2aX6nZ1q3N2tRIoTSiAI8rM8VY7I0dSR+39NG5mjKSNyQltkj+SV2eaqETnSpNf+ vW/S5paEVu/u0poD5Xf59k693NTNl3QADnD5WXH3CUlfHvSfPGSvuda8mtLGVZJV8AYAMtPw/Jhm jcnTzLG5mjUmVzWjc1+93gwHS/qmNXu6tWpnl+q2d+qZTe1as5uTSWCgxTynmlE5mj0uTzWjczV1 RI6OLc0e8Msfwqq5M6WXtnVq6dZOvbStQ8u2daqtmyXPQAbb3uV5x62eU9GVESW35vbGy+T5DzDu QOYYXRjXGccV6LRj8nX82FyNK84ilH5o6kjp2U3tem5zB6UXSHOpPXl8vk4al6cTy/NCe1lEEPgm rd3TrRe3duipDe3658Z2NbMnAZBZnK6tm1v1o8wouYvq/yLpQkYdiK6cuNPs8jydeVyBzjyuQFOG ZxPKIJTeZzd36B/r92lTc4JQgB6oHpWj048toNQOUuldtr1TT7y8T0++3K6l2zrk8+0cEHUv1M2r OjHyJXf6woZp5qxOAbiFEYD0GlUY14VTCnXOhAKdNC5fOXH+mg+V+l1denh1mx5a06aGXV0EAhzg OemE8jxdMLlQF0wuZFXJEGrt8vXUhn36+/p9emTNPrV0McsLRJLT2XVzqx6PdMmtWdzwbZl9ktEG oqEsL6azJxTo4ooinT2hgGvVAmhzS0KPrd2nPze26sWtzJwgM4vt8WPzdHFFkS6qKNTIgjihBIxv 0ktbO/TnxjY9WN+ipg4KLxAVZrpnxfyq90a25B6/cF1pwnVvlFTIcAPhNSI/rgsrCnVxRZFOLM+j 2IbIjn1JPbS6Tb9Z0awVO5jhRbSL7anH5OvyacU6b2KhCnNYhhwW3SnTky+360+NrXpsbRubVwHh l3Sp2KTlN03ZGMmSW72o/iYnfZ1xBsJ7wvie6SV66+RCxWi2obdmT7ceWNmiXy1v1l42g0FEjCqI 67JpxXrP9BIdU8JS5CgU3kfXtum+5c3654Z2NtgDQsqkr6yYV/W56JVcM1ezuLGe2wYBITthLIzr sqnFeu/MEpUXccLISSQQPHwJlxnWN3Xr/hUt+nVdM8uZgfDZ1eV54wfjdkKD+gkwbWHDWz1nf2V8 geCLeU7nTSzQe6aX6MzjuM42k7y8t1u/Wt6ie5fvVWsXSwQRbGOL4nrfrFJdPq1Ew/NjBJIhulKm vza26r7lzXpucweBACHhpGuWz6v6ZaRKbs3ihntl9h6GFwiu7JjT2yqL9PGTh2lCGbf8yWTtCV/3 17XoB0v2aHtbkkAQKMeWZun9s8p01YwSZcf4Fi6T1e/q0o+eb9KD9a1KsaseEHSP1s2rektkSm7N t+rGKBHfIIm1jkAAFWZ7uqK6RB89qYxdR3GQRMr0p8ZWfe+ZPVrX1E0gGFLTRubogyeW6e1Vxaww wUE2tyT0kxf26r7le9WZpOwCgeV5NXVzKlZEouROX1T/WZP+k1EFgqW8KEvvnVmi984oZedRHJFv 0j/W79Ndz+zW0m2dBIJBdWJ5nj560jCdO7GAMHBETR0p/XzpXv3sxb1qZkM9IHicbq+bW3VT+Etu rXk1pQ2rJU1kVIFgGF0Y1/WnDtfl1cWKMx2CXnr85X1a9MQurdrJLYgwsM44Nl/zzhyp6lE5hIFe aU/4+skLe3X3kj3cgggIlt37SrLHr//wxAH7xnxQzmxrFq96m8z9kfEEhl5JbkwfmV2mDxxfppw4 5RZ955v019Wtuv3xXdrckiAQpNWkYdm64bThuriiiDDQL82dKf1gSZN++kKTulIsYwaCwMn+dfm8 qT8NdcmdvqjhHpNdxXACQyc37vT+48v0sZOGqYhlyUijrqTppy826X+e26M2dmNGP40qiOuTpw3X u2tKuOYWabW9LanvPr1bv17RwgZVwNAb0A2oBvzjo+b2umHy4lsksc4IGAKek94zvUSfOHW4RrGh FAbQnvaUvvX0bt23vJkTSPRafpanj5xUpmtPHKZcVplgAK3e3a3FT+7So2vbCAMYOuY8r2L5nIo1 A/HgA35DuVGXzP2opMsYR2DwzRqbq29eNk7vnl6igmxmbzGw8rI8nTuxQG+rLNL6pm5tamYJM47O SbqyuljffOc4nTOhgD0CMOCG5cd0aVWRZo7N1dJtnWruZAUKMBSHf+db044/f/OxgfpsGVA1ixqe k2w24wgMnuKcmG44bbjeN6uU5X4YMn9ubNWXH92hpg52N8WhjSvOUu0Fo3X6sfmEgSGRSJl+/EKT vv3P3VyvCww208t1zZWTVOvS/k3TgJ7+Vt/eWOM8fzkjCAwOJ+myacW6+eyRKsuLEQiG3O72lL7x +E49sLKFMPAqz0nvrinRzeeMVH4Wq0ww9DY2J/Rfj+3Q39fvIwxgUIuuXVA3f+oj6X7YAT0LHnXp p26VdDqjBwy8qSNztPiycXr/rFLlcdKIgMjP8nTB5EJVjczR85s7tC/BssBMVzEiR9+8bJyunlmq rBhLTRAMJbkxvX1qsSpH5OiFrR3axy2HgMHpuM7Fdv7pm/en+3EH7NPlvNpH4ztLyzdJGs3wAQMn 5jlde2KZPnXacE4YEWhtXb6++c/d+r+XmsS+VJkn7jl96MQy3XDacGVzrEKQj1Xdvr7xj526d3kz YQADX3Pbu7zY2NVzKtK65GvAZnLzL//sxc7pOgYOGDgTyrL1rXeO0xXVxYpx8S0CLjvudNaEAs0c k6snXm5XZ5KmmykmDcvW968cr7dP5ViFEByrYk7nTSpUxYgcPbOJYxUwsFxWXFa/80/ffCmdjzpw axqd/oVBAwbqr5d01fQS3fu+YzVzTC6BIFTOPK5Av/3ABDYbyhCXTS3WL645VhUjuJMgwuXCKYX6 7Qcm6C2TCgkDGEjm3jcQ58ppN/uuLfmdHa3bJXFUANJsRH5cX3zraJ0zsYAwEGq+Sd99Zre++/Ru li9HUE7cacGZI/X+40sJA6H358ZW1T68Xa1dXKsLDICUspLj626o2ZauBxyQmdzO9rbLKbhA+p0/ qVAPfPA4Ci4iwXPS9acO111XjNfwfHYDj5Ipw7N1z/uOo+AiMi6uKNK97ztO1aNYkQAMgJhLZF2d 1nOMgTlz8d/HWAFpLgOnDdfid5SrOIcygGg5/dh83f/+CTqD5cuR8M5pxfr5e4/V5GHZhIFIGV+S pZ9efaw+cHwZYQBpZ2ntj2lfrlxze90wefGtkvh0A9JgeH5Mt10yVqeMpwAg2nyTFj2xSz9Ysocw Qigr5vT580fpypoSwkDk/WZFi7706HZ1sSkVkL7zAJeqXDm3ujEdj5X2mVzzsq6i4ALpcWJ5nu79 l+MouMgInpMWnDVCX3rraMXZgTdUinNiuuuKcRRcZIwrqov1f+89VseWZhEGkK7zAIu/N22Ple4n 52RXM0RAf/8eSR86sUx3v3u8RhXECQQZ5cqaEn3n8nEqzPEIIwTGFWfpp1cfw5dxyDhVI3J0zzXH sfsykDZ2VTrPpdOm8uv1I7Li2iqJs3Kgj7JiTl+4YLTeOa2YMJDRVu/u1g0PbNbmlgRhBNTMMbm6 87JxbByGzD4tl/Sdp3fr2//cTRhAP3kxTVt2Y9Wqfj9OWk/Os9y7KLhA372y5I+CC+zfofcX1xyr E8rzCCOALpxSqB+8+xgKLjKe0/6d4r984RgutQD6yVJ6V1rKclqfle+/m6EB+mZ8SZZ+9l6W/AGv V5YX0/9cOV5vncJywCD56EnD9I1Ly5Ub54QeeMUV1cX67hXjVMSlFkB/am5a+mTaPp2OX7iuNOG6 t4tNp4BemzkmV9+8bJyGMSMCHJJv0n88tE33r2ghjCE25/QR+vgpwwgCOIw1e7p1/W+51ALoc0H1 vCnL51Ss6c9jpO2rpm4vcSUFF+i9C6cU6u53H0PBBY70YeWkL144RtfMLCWMoTrpkHTLOSMpuMBR TB62/1KLE7nUAugb3/q9ZDltJdelaWoZyCTXzCzVNy4tVw5L/oAelazPnT9KV8/gNjVDkf1nzhul fz2hjDCAHijLi+l7V47XGcdyCRLQW5aGXpmWM+uab9UVKhHfKSmXYQF65sOzy7TgrJGi3gK9/fCT vva3nfrpi02EMYgF919mlRIG0EuJlOnmP23VQ6vbCAPoxUd9zPOOXTqnYlNfHyA9M7mJrIspuEDP ffSkYbqJggv0uXTdeu5I/X8ns2x2MLL+3PkUXKCvsmJOt19azl0TgF5+/CR9e3t/HiA9JdfsMsYC 6NkJ46fPHqn5Z44gDKCf5p4xQtdxfeiA8Zz05Yu4DhpIy9+lC8foXTVcagH0+JzZ9a9f9rvkXnWP xeT0doYCOHrB/dz5o3TtiVzTBqTLjaeP0PuZZRwQn3/LaF3O7BOQtqL7hbeO1vs4XgE9Y3rLzNte Khiykrtia8PpkpiWAo7y4cbOsMDAuOXcUbq4oogg0uj604brPdOZdQLSyUn63Hl82Q30UF4yO+et Q1Zy5TuWKgNH8emzR+rKamZEgIHgOemrF4/R6eximhbvmV6i608dThDAAJ4TfJCdyoGjctb3numl 4ae/kyEADm/emSP4MAMGWFbMaeHby1U1Iocw+uHciQX69/NHEQQwwG4+Z6Su5Bpd4GguU631qa/2 q+ROv6NxsqSp5A8c2r+eUKaPncTGOMBgKMz29J0rxqm8KIsw+mDGmFx9/ZKxinns+w4MNCfpCxeM 5lIL4MhG1RQ3nDToJddS/tvIHji0K6uL9f/OGUkQwGB+GhbE9Z0rxqkkN0YYvXBsaZa+ddk45WV5 hAEMklcutTjruALCAA7fVt/Wtz/Wrx9qF5M88GbvmFqsL7x1DPfBBYbA5GHZuvOycmXH+BvYEyPy 4/qfK8drWD5fDACDbf+lFmM1a2wuYQCH1qe+2eeSW1Nbly1z55M7cLBzJhToyxeOFiv+gKFzYnme Pnce15YeTdxzuv3tYzWumCXewFDJy/L03cvHa8rwbMIA3uzUmtvren3tX99ncstiZ0oqJHfgNRPL svXfbxurOA0XGHLvnl7CbXCO4uZzRurE8jyCAIZYUY6nb71znMryWFEBvEFMLvaWwSu55rFUGXid ktyYvvXOcSrM4Zo2ICg+e94ozRjDMsBDeXtVkd4/q5QggIAYV5ylRW8vVxaXWgBvaKyu172zH2fj XI8LvCLmOX3j0rE6tpQlf0CQZMecFl5azvWmb1A5IkdfeOsYggACZva4PH2e23gBb6idvd98qk8l d/qitaMlzSJxYL/PnjtSpx2TTxBAAI0pinNrnNcpzolp8TvKlRsnDyCIrqwp0XtnlhIE8JrxNXc0 Vg94yfWt+60SG8cCkvQuPoyAwDtlfL7mnTEi43PwnPTVt43RMSWsOgGC7LPnjtSpfHkOvCaVumjA S6487y0kDUgnj8/X59/CsiIgDK6dXaaLK4oyOoNPnjpc50zgnpxA0L1yGRQ7nwOvcOcNeMl1Ztw6 CBmvLC+mr71tDDspA2H5eJT0hQtGq7woM08aTyjP03WnDOeNAIREaW5Mt186lo2ogP0f4udedY/1 eIONXpfcmsVrjpU0kaSR6SfLX75wjEYVxAkDCJHCHE//dfGYjLuPdX6Wp/+8cAz37wZCpmZ0ruZy qQUgSaXLN6/u8Z5QvS65ptQFZIxM99GThunciSz5A8Jo9rg8feD4sox6zZ85bxS7vwMh9aETy/SW SYUEgYznnN/j1cS9LrnOZ6kyMtuMMbn61Oks+QPCbN6ZI1QxIicjXuv5kwp1ZXUxgw6E9cRe0pcv Gq2xRaweQ6aXXA1cyZWzc4kYmaoox9PXLxnLdbhAyGXHnP7rojGRv9atLC+m2gtGM+BAyBXnxPTV i8dyyQEym+mc82of7dG3Pb0qudMWr6iQ3LEkjEz15QvHsNMhEBFTR+bo+lOjvSrj828ZreH5MQYb iIDZ4/L0yVNZSYaMVrSzuPzEtJfcmHnnkC0y1bunl+iCyVwTA0TJR08apuPH5kXytV1ZXawLp3DM AqLkulOGR/aYBfSE81yPVhX3quSa3FlEi0w0ujCum88aSRBAxHhO+spFY5QTj9YawDFFcd1yLvfw BqJ4zPrShaOVw22FkKHM7My0l1w5nUG0yES1F4xWYY5HEEAEHVuapY+eNCxSr+nms0eqMJtjFhBF E8uydQMbYCJTOZ0ps6N+y9PjT8DKr9ePkKmCZJFprqgu1tkTuF0QEGUfnT1M40uicb39acfk6+KK IgYViLBrTxzGsmVkqhFTb1911E7a45Ibz7IztX8XcyBjjCyI6/+dwzJlIOpy4k63RODvesyLxusA cJQTeJYtI5Pf//HYUZcs97jkOtOZRIpM82/nj1JxDjuTApng/EmFOmdiuFdtfPCE0oy5/y+Q6SaW Zeu6U1i2jMzjenBdbi8u2HGUXGSUd0wtZjdlIMPces4oZYd0ZmRUQVyf4IQXyCgfPalM1aP4YgsZ 56ibIfeo5E65ozFH0onkiUxRmO3pprNGEASQYY4tzdK1s8tC+dw/ffZIFbDZFJBRYp5T7QWj5bFq GZml8oQ7Gkf2u+RmSSdIyiVPZIrrTxuukQVxggAy0HUnD9e44nBtQnVieZ4uqWKzKSATVY/K1eXT igkCmcQlfDu13yU35tspZIlMMWlYtv5lVilBABkqJ+706bPDs3lTzHP6t/NHsTMkkMHmnzlSRdzq EBnEZCf3u+T6R3kQIEpuOWek4qz7ATLahVMKQ3PrsH+ZVapKNpsCMtqw/BjX5CPT9L/kuqM8CBAV b51SqDOP4564AKRbzx0Z+E2ohufHdP2pnNgCkD5wPLurg5Lb45I7+6trSiRVkCOiLifudPPZ3F8S wH7HlWbrA8cHexOqm85iiSKA/bhPNjLMiKkLV07oc8ntzEnMVq9uNQSE00dmDwvdZjMABtYnTh2m 0YXB3ITuhPI8XcZmMwBe57Rj8rn9ITKG59zJfS65cixVRvSV5cV07YllBAHgIPlZXiA3ofKc9Lnz 2GwKwJstOGuEYuwtggxwpEtqezJDS8lF5F13yjDuLwngkC6pLNKpx+QH6jldM7NUU0dy7R2ANzuu NFvvZJUHMoLXn5LrZhMgomxUYVxXTS8lCACH9dnzRgVm1/XS3JiuP43NpgAc3vWnDg/8xnlA/9ls 1ZrX65J7/MJ1pZKOI0BE2Q2nDldOnA8CAIc3OUD3z77prBEqzY0xKAAOa2xRXO+ZXkIQiLqimuI1 k3pdclOx5CyJS34QXceVZuvyapb0ADi6G04brlEFQ7sJVc3oXF1ezYkrgKP7+CnDlMuX+Ig6z5/V 65Jrvs0iOUT9pDXO5gwAeqAg29P8s0YM3ee4k/7tvFHikAWgJ0bkx/X+49lUExFn6n3J9Z0ouYis iuE5eltlEUEA6LF3TC3WSePyhuRnv2d6iWaMyWUQAPTYx04apuIcLm9AhLk+lFxnlFxE1ydOHcaM CIBefpZKnzlv1KDfnqM0N6Y5Z4xgAAD0SlGOp2tmcYkDIsysdyX3qnssJlk1ySGKxpdk6cIpzOIC 6L2qETm6ZsbgnjTOOYPNpgD0zQdmlbHBJqLL6bgZ315a1uOSu2Lb6ipJeSSHKPrwiWXM4gLosxvP GKGRg7QJVfWoHHZJBdBnw/Jjupz75iLKknkze1xylWLTKURTaW6Mm6QD6JfCbG9Qlg97Tvrc+aP5 Ug5Av3xkNpdoIboOtVnyYUuuOZtOZIii9x9fqrwsjyAA9MsV1cWaNTZ3gH9GiWax2RSAfhpfkqW3 TC4kCESz5Dqb0eOS60lcj4vIyY07XTOzlCAA9JuT9LnzBm6WtTgnpnlsNgUgTT520jBCQERbrqb2 uOSaNI3EEDXvqilRWR6btwBIj4G8XvbG04drWD7HKwDpMX10rmaPY7sdRNK0HpXcmtq6bEmTyQtR 4jnpgydwU3QA6TX3jBFp//Js6sgcvZdVJwDS7NoTOQ9CJA2fedvqUUctuVacXSEpTl6IkrOOK9D4 kiyCAJBWJWm+h62TdOu5o9gkBkDanTuxUOVFnAsheizHph215MpLcT0uIuc9M7gFB4CB8e6aEs1I 0wZRl00r1kksKQQwADwnXVHDHSYQwZJrqaOXXCfH9biIlJEFcZ0zoYAgAAzYieO/ndf/2deCbE/z z2SzKQAD56rpJYqxVAQR45t6MJPLplOImPdML1GcAzqAAVQzOldXVPdvxcinThuukQVcLQRg4PDF P6LojZO0hy65RslFdHhOupKlOQAGwYKzRqg0t2+bUE0Znq33zSolRAAD7qrpXMKFyKk+csk1c3Ka Qk6IirMmFLDJAoBBUZob0w2nDe/Tn/3seaNYcQKAcyOgb8pn3vZSwWFLbtU368dKYg0DIuPq6aWE AGDQvHdmqaaP7t0mVJdWFemU8fmEB2BQsMoNEeQslj3psCU3lnDcHxeRMbIgrrMncOIIYHBPHm85 Z6R6OidbkO3p02eNJDgAg+pdNSXcqgyRYjFvymFLrmKOpcqIjLdVFrGDIIBBd0J5ni6b1rNZkk+e OlyjCtlsCsDgGl0Y1+xxTAQgSl6brH1TyXXymclFZFxaWUQIAIbETWeNVFGOd8Tfc1xptv6FzaYA DJFLOE9ChPjmH77kyliujGgYX5Kl6WNyCQLAkBieH9P1px55E6rPnDdS2TFWmwAYGhdXFCqLYxAi wnPuCMuVxc7KiIZLKovEYRvAUPqXWaWqGpFzmJPLIp11HPs8Ahg6JbkxnX4MS5YRDWY6wkyumMlF NLBUGcBQi3lOnzt/1Ju+cMuNO9109ggCAjD050tVnC8hMo6tqa3LflPJnfHtpWWSlZEPwm7SsGxV HGb2BAAG04nlebrkDSeR150ynHtUAgiECyYXKi/LIwhEQSxV5E2QpIO2c0x25U30nE88CL23V3Hv Nwy8RMq0qz2l7W0J7W5PqbXLV1v3/v/tTJqSvqm9+83H1IJsTzHPKe5JRTkxleR4KsqJqSjH04iC uEYXxlWYzQlHlHz67JH627p92tft67jSbH3oRL5PjpqmjpR27ktqd3tKLV37jwOv/K9v+48XHYk3 Hw8Kczx5zqkw29v/K2f//44siGtUYVwj8uPc5gUDKi/L0zkTCvTnxlbCQOjFs+ITJDW84Z4F/rFE gyi4uKKQEJAWvkkb9narfleXXm5KaF1Tt17e261NLQntaU8N2M/Nz/I0piiusUVZmliWrUnDsjWx LEtThueoLC/GwITMqIK4PnnqcH39HzvZbCrEtrYmta6pW2v3dGntnm6tb0poW1tC21uT6krZgPxM z0kj8uM6tjRLx5Vla0JptiaWZWvqyByNKeLWU0iPt1UWUXIRCZba32cPOjrGnDvWZKSDUJtQlq0J ZdkEgT5p6UppyeYOPbupQ3U7OrVqZ5f2dQ/+Cpf2hK+1e7q1dk+3nnh530H/bWxRXNNH52rGmFzN HJOnGWNylUNpCrwPHF+q5s4Um02FRGuXrxe2dGj59k4t396pZds71dSRGvTn4Zu0Y19SO/Yl9dzm joP+W1leTNNG5mjmmDydND5PJ4zNU06cYwF674xj85Udc+pO0QMQck7HvKnk+uYf4xwHR4TbeRM5 gUTvTiCXbevUo2vb9MTL+1S/q0t+wD/jt7YmtbW1TX9d3SZJyok7nViep9OPzdfpxxZo2kiuRw+i uOc09ww2mwqqlG96YWunntqwT//c0K5l2zsDfyxo6kjpyQ3tenJDu/SMlB1zmjEmV2dPKND5kwo1 eRhf+KJnCrI9nTQub/97CQi3N8/kSo7lygi9cym5OAqT9PzmDv1uVYseWds2oMuOB0NX0vTUhnY9 taFd0i6NK87ShVMKdVFFkWaMyeVWWsBhJH3T0xvb9ZfVbXpkTduQzNSmU3fKtGRzh5Zs7tCiJ3bp mJIsXTilSO+cVqwpwym8ONr5UyElF5EpuQed+0xfVP+USaeRDcKqKMfTPz4+WXF26cAh7NiX1H3L m/XAyhZtak5kxGseV5ylK6qL9a6aEo0u5Po9QJIad3fpvuXN+v2qVjV3pjLiNVePytXl1cW6fFox G9vhkDY2J3TJD9cRBMJudd28qoqDmkDNovrNksrJBmH1tsoiff2SsQSBgyzd1qmfvtikvzS2Keln 5vVGnpPOnlCg980q1ZnHFTC7i4yT9E1/bGjVL5c268WtHRmbQ0G2pyuqi/X+WWU6tpTbWOFg7/zJ eq3d000QCLOuurmVea+e59TU1mWrNN6hN9w7FwiTr148Ru+Yyu2DsN+LWzv0/ef26LG1+wjjdSpG 5Ogjs8t0aWWRYqx6QMS1J3zdX9eiHz6/R1tbkwRygOekC6cU6VOnD9dENmvEAd94fKfuXtJEEAg1 p6wxr57dzFjYMMl3toZYEOYP7L9/fLJKc7m9SqZbubNLtz++88A1qjicccVZuvH04bq0qpj7cCJy upKmn77YpB8sacqYJcl9/ex8x9RizTl9BLckgp7b3KFr79tIEAi7U149mqXMxrGxMsLs+LF5FNwM 19SR0p1P7dJ9y5vlcxeEo9rcktCtf96mHz3fpAVnjdTpx+YTCkLPN+mBlS365j93aRsztz3O66+r 2/Sxk4bp2tll3JIsg50wNldFOZ5au3zCQJiPa+NeW5rs2RgiQZiddgwn6Jnstytb9PYfrdc9yyi4 vbVyZ5f+v/s36cbfbaEUINRW7OjUNb/YoH/76zbey73UkfB151O7dPlP1uvZTayCyVQxz+nkcZxP IezvYxvzWsl1HiUXoXbS+DxCyEC721Oa+/st+txftqmliyWJ/fHo2ja948fr9L/P7eGLAoRKZ9K0 8IlduuYXG7RiRyeB9MOm5oQ+8qtN+sLD29WeYDaP8ykghHz3Wsl18keTCMIqO+Y0awwH5Uzz+Mv7 dMVP1+vhNW2Ekeay8K/3btDmlgSBIPBe2tqpy3+yni9n0sgk3bu8WVf/fIMad3cRSIY5eTwzuQg5 9/qZXHPM5CK0Zo7JVU6ca4gy6QTsf5/bo+t/u1lNHczeDlRxePfPXtbvV7UQBgJ7HPjZi3v1ofs2 8oXMAFnf1K1rfr5Bv1reTBgZpGpEjopyuNkKwvz54I193UyuuLkoQuskrh/JGO0JXzf8drMWPrGL WZsB1tbt69Y/b9PnH9qu7hRhIziaOlL62K836b/+tiNj7309WLpSpv94eLu+9vedHHMzhOek2eNY HYcQO2gmV2w8hfA6metHMsKu9qSuvW+T/r6e+94Opl/XNesjv9qk3e3MmmPoNe7u0jW/2KCnN7I5 0mD6yQtNmv/gFnUmabqZgMkDhJq9ruSaHNfkIpSyYk6zxlJyo25jc0Lv/+VGNpUZIi9u7dD7frFB Dbu4Pg9D57G1+/SBe1iePFQeXtOm/+/+TWrrZkOqqDuFyQOE2isbT9WaJ2kUgSCMZo7JVS7X40ba 5paEPvbrTZzYDrEtrQl96L6NemFLB2Fg0D1Y36q5D27RPgrWkHphS4c+8qtNau5kZUeUTR2Zy3W5 CLNsT5IqCxuGScoiD4TRieV82xhlG/Ym9MF7mbkJitYuXx//zWY9uYGlohg8//fSXt36p61KcVFo IKzY0alP/HYzM7oR5jnpeFbJIczvYUnKydEIokBYTR+dSwgR1dSR0nW/2aTtbUnCCJCOhK8bHtis v63j2mgMvB8+36SvPLZD1NtgWbatU/N+v0UJNqXj/AoIasn1kzacKMBBGEHSlTR96nebtbGZGdwg SqRMC/6wRc9uYkYXA+c3K1r0jX/sJIiA+ufGdn32L9vYdTmiakZxfoWQl1xZbBhRIIyG58c0ujBO EBFjkm7581a9tJVNpoJs/xcRW7R8O+OE9PtDfas+/9A2ZnAD7o8NrfrO07sJIoold3QOISDkJdcx k4uwHoD5ljGKvv/sHj20uo0gQmBft69P/nazNjHjjjR6ZhMzhGFy1zO7uXwhgkYWxDWKiQSEueQa JRchNZ2lNJHz5IZ23fnULoIIkaaOlG78HbveIj02Nie04MGtStJwQ8M36dY/b9WGvXzZxXkWEKCS 6zlRchHOgy8zuZErS5/9M7M3YdS4u0s3/WErY4d+2dfta87vtmgvt6cJndYuX5/+4xa+nIgYVswh 1CXXfEouwqma60Uipfbh7drVzk7KYfX4y/v03We4Ng99929/3abG3V0EEVIrdnTpe8/uIYhIlVzO sxDikivPsfEUQmd0YVwj8rlWJCp+u7JFD6/hOtyw++7Tu/Xc5g6CQK/9cule/ZVr8UPve8/sUR2b 0UWn5LJcGaEuucY1uQifaaP4djEqmjpSuu3v3CYkCnyTbvnTVpabolcad3Xpv7lVUCQkfdMXHtnO pQsRUZYX05giJhQQ1pIrlRIFwmZSGSU3Km5/fCelKEK2tyX1xUd2EAR6pDtluvlPW9WVpBVFxYod Xfr50r0EERGTh3G+hfCW3CKiQOhK7rBsQoiAF7Z06DcrWggiYv7S2MptoNAj3392j1bv7iaIiLnz qV3sscD5FkDJBXprMgfdSPj64zvF/E00ffnRHWrpYoYeh9e4q0v/8xwbFUVRW5ev7zzN2FJygSEt uUbJRag4SRM56Ibew2va9NJWNiiJql3tSX3jce55jEPzTfqPh7crkeJrrqj61fJmvbyXWfqwY1IB oSy5V91jMcnlEQXCZFRhXIXZHkGE/AR38ZMUoKi7v65ZK3bwRQbe7IGVLVq6jfdGlCV90x1Pclsx Si4wBCW3cfP6Iu2fGAM44GLQ/LGhVWv38A1/1PkmfeUxlqTjYO0Jny+5MsRfGlu593HIleTGNDw/ RhAIV8lN+imWKiN8JXc4O/2FmUn64RKu1coUL27tYBMqHOT7z+3Rzn1sSpQ5x/smggi5SeywjLCV XMvielyEz8QyZnLD7B/r9mnlTr7ZzyQLn9ipFDfOhKQd+5L60fOUnkzyYH2rtrQmCCLEWEGH8JVc n5KLMJbcLEIIsbs5wc04G/Ym9LtVrQQB/eC5Ju6Jm2GSvulnL+4liFCfd1FyEbaSa9w+COEzroSS G1brmrr13KZ2gshAdz2zm9ncDLerPal7l1N2MtGv65rVyZcboVVeHCcEhKvkOs8vIAaE600rjS7g YBtWv1zazCZEGWpjM7O5me77zzKLm6lau3z9pZG//+EtuUwuIGR9wTOXSwwIk9GFccU8NgQPo66k 6YGVLQSRwf73uT18yZGhmjtTuo9Z3Iz2y2WMf1iNK6LkImQl15wouQjXgZZvE0Pr0XVtaulKEUQG W9fUrcfX7yOIDHTPMparZrqXtnZqfRO3jgujwhxPhTkeQSBEJZeZXITMWL5NDK0/sFQVkn7KBjQZ J+mbfrGUccf+e6QjnMo5/0KYSq7nfEouQoWZ3HBq6Urp8ZeZwYP0xMv71LiLW0hlkj83tml7G/fF hfS7VVyywvkXMAgl1yTu7oxQGVvEplNh9PDqNnWnWKqI/e6rayaEDHIv12LigA17E9wnPaTKOf9C mEquWK6MsB1k+SYxlB5ZyywuXvPgqla+9MgQm1sSWrK5gyDwqkfXthFCCI3l/AthKrnM5CJ0B1m+ SQydrpTpnxu5Ny5es7czpcc40c0Iv6rjtmE4GH/3w4nlyghVyXXsroyQGck9ckPn6Q3t6kj4BIGD /GYF1+ZFnW/itmF4kxU7urSlNUEQITM8P0YICE/JlVFyER45MaeCbLawDxs2nMLh3hd72rmlVJQ9 u6ld21rZcApv9sTLrO4Jm2F5lFyEqeRKrD1AaAzPZxY3jFiqjEPxTXqYZYuR9pfVjC8O7dlNfC5w DgYMYMk15/haBqExjKUyobO7PaV1e7oJAocuQY3cMzOqfJMeWUPJxaH9c2M712qHTFGOp+yYIwiE o+Q6M9Z+Ijwll6UynMggUp7Z1KGmDpYsR9GSze3auY+lyji0Pe0preUL0NAp5TwMYSm5MvFuRWiU cXAN5YkucDgp3/TYOq7ZjqKH1zCu4PMhaoZzHoawlFznOWZyEZ6DK9eDhM6ybZ2EgCN6fD1lKIr+ wbiCz4fIGcZ5GMJScs2Mr2QQooMrb9cw6UqaGnezHA1H9uSGfUr5LGqPks0tCb28l7/7OLKllNzw nYcxk4vwlFwxkwsOrhgQdTs6laS84Chau3y9xMlupPydJejogXVN3Wrr5h7qoToPY7IBYSm5zjGT i/AozeXtGiYrd3QRAnqEpa3R8sQGxhNH55u0aiefE5yHAQNQciWuyUV45Gfzdg2T+l2cvKBnnuFe ypEqLs9t7iAI9EgDnxOchwEDVHL5SgahUcDBNVQad3Pygp6p29GlziRL26Ng1c4utXWxBBU9s5rP iXCdh2VxHobQlFzukwsOrkg/36Q1bDqFHkr6pqXbmP2LAm4Lg95o2MXnRKjOw5hsQGhKrpMjBoRF fjZv17DY0pJQe4LZHPSmHFFyo+D5LYwjeo6ZXEouMCAl1xklFyE6uDKTGxobmxOEAMoR4wgcUVu3 rz3tKYLgPAxIb8k1UXIRkjerk3I5uIbGBu6RiV5atr1TXJUbbptbEtpNYUEv8aVoeORnURsQkt4g Si5Cc2D1eLNy0oIIa+vytYn3Tait4LZh6NPnBV+KhuZcjOXKCE/JdfQGcGAFJReBULejkxBCXXIZ P/TeBj4vwnMuxoo6hKbkmk/JBQdWpN3WVk5a0IeStJ2ZwDCr207JRR8+L1r4vAgLNp5CeEouEBJ5 cb6PCZMdbVyXh95buZOSFGardvElBfrwebGPz4uwyI45xTzOxxCCkus8R9FFKMRjHFTDIumb9nQk CQK9tpp7K4fWnvYUu+SijyWXz4tQnY9xOoYwlFwiQGgOqnxzGBo796Xks00u+vTeSaqli6IURmub +IICfSy5bZTcMGEmF6EoucZ9chGWgyrv1FAVFaDPZWkPZSmc48ZSZfRNS2dKXSm+GQ0LJh0QipIr biEEDqpIs70dzMSBkptp1rDUHH1kkpr53AiNGOtAQckF0vhm5Z0aGiw3BSU3A8eN5croh+ZOPjfC gkkHhKTkcp9chOSgynrl0NjLyQr6gXssh9OGvYwb+lFyu3xCCAlmchGOkst9chGWksv3MaHR0snJ CvpuM/fMDB3fpO1sHoT+lFy+HA1PyeV8DGEouc7xTkVI3qx8cxgard2UXPTdJkpu6GxrSyjJluro hxZmckMji5V1CENvMMc1uQgHrgEJj64kJyvou7Yun+u6Q2YzS8zRT4kUnxuhKQ+cjiEM71NxCyFw UEW6T1Y4V0E/baI0havktrBUGf3TlWQlQFhwn1yEo+SyuzJCgjdqeHQzk4t+2sb1nSEbL76UQP8k WO7O+RhAyQUQ6JKb4mQF/bNrHyU3THbuY3k5+oeZXABpLrlGyQVAyUXASi6lKVzjxZcS4HMDQKBK LgCkV4KTFfS3NLVTmsJkJyUXfG4ACFLJdeIWQgDSi2/k0f/SxEwuJRd8bgBAH0uucU0ugDRjAxH0 125mckNlTztfSoCSCyBAJVfcJxdAuk9W2EAE/dTcyQ7dYdGZNHVRUEDJBRCokst9cgGkGXcQQn+1 dDEzyFghk3BNLoD0llyWKwMAAlecfHHKGw7NnZRcAEDwSi4AAIGS8k37ulkSEAatXYwTACBgJdfJ KLoAgMBhGWxIxonrpwEAQSu5xi2EAAABtI8ZwnCMU4JxAgAErOSKa3IBAAHUwS7d4RgnSi4AIHAl l92VAQAB1Mk23YwTAAB9KrncJxcAEMTylGAmNww6GCcAQOBKLgAAQSxPzBCGQhfLygEAgSu5zOQC AAKok/IUknHiywgAQMBKrjN2VwYABE8iRckNg27GCQAQtJJrMkouACBwfKM8hWOcyAAAELCSK24h BAAIoBSrYENScmm5AABKLgAARy+5lKeQjBMZAAAouQAAHL08MZMbCj7rlQEAlFwAAHpQnuhOocBM LgAgeCWXWwgBAAJZnmhPYcAwAQCCV3K5hRAAAAAAIDIll1sIAQAAAACiU3JZrgwAAAAAiE7JBQAA AAAgMiWXmVwAAAAAACUXAAAAAABKLgAAAAAAA1JyjZILAAAAAIhKyXXcQggAAAAAEJWSK0fJBQAA AABEpeSyXBkAAAAAQMkFAAAAAICSCwAAAAAAJRcAAAAAAEouAAAAACBTSi4AAAAAAJRcAAAAAACC VnIpugAAAACAyJRcrskFAAAAAFByAQAAAACg5AIAAAAAQMkFAAAAAOCIJddRcgEAAAAAUSm5RskF AAAAAESk5DqWKwMAAAAAolJyjZILAAAAAIhKyWXjKQAAAABAhEouAAAAAACRKbnM5AIAAAAAKLkA AAAAAASs5HILIQAAAABAZEquo+QCAAAAAKJSclmuDAAAAACg5AIAAAAAELiSCwAAAABAZEouRRcA AAAAEJmSy3JlAAAAAAAlFwAAAACAoJVcAAAAAAAiU3KZyQUAAAAAUHIBAAAAAAhayQUAAAAAgJIL AAAAAEDQSq4RAwAAAACAkgsAAAAAQMBKLgAAAAAAkSm5zOQCAAAAACi5AAAAAABQcgEAAAAAGKCS CwAAAABAZEouM7kAAAAAAEouAAAAAAABK7nmEwMAAAAAICIlFwAAAACASOj0JMdyZQAAAABAFLQz kwsAAAAAiAhrY+MpAAAAAEBEOEouAAAAACAqHVdtLFcGAAAAAESDiZlcAAAAAEBUuDZPjpILAAAA AIgCv82TUXIBAAAAABFgjmtyAQAAAAAR4anNkxwzuQAAAACA8Nu/8ZRRcgEAAAAAoee4Ty4AAAAA IDLMp+QCAAAAACLCY+MpAAAAAEB0MJMLAAAAAIgI45pcAAAAAEBEOEouAAAAACAyLMk1uQAAAACA aHBZzOQCAAAAACIiZjFKLgAAAAAgGnKTuZRcAAAAAEAkpJ5acEwHJRcAAAAAEH5ObZLExlMAAAAA gPAztbxScpnJBQAAAACEmpNrpuQCAAAAACLBZK+UXKPkAgAAAABCzUmvzuQCAAAAABBq9mrJNcdM LgAAAAAg5CX3leXKjmtyAQAAAADh5uSx8RQAAAAAICol1169hRAAAAAAAKFmJmZyAQAAAADR4Nyr txCSTxwAAAAAgDAz6ZXlyuyuDAAAAAAIN1/e3gMl11LEAQAAAAAIM897bbkyJRcAAAAAEGqplE/J BQAAAABEQ1ZOYn/JdbIkcQAAAAAAQsyG79jdKkmeyTGTCwAAAAAIs32P1Z6flCTPsVwZAAAAABBu za/8g2eUXAAAAABAuLW8WnKZyQUAAAAAhJljJhcAAAAAEBUm91rJdY6SCwAAAAAIcck1e91MrrG7 MgAAAAAgxJztebXkOhklFwAAAAAQ5pb7Wsk1R8kFAAAAAISX51zTq/8sc0kiAQAAAACElu+/NpMr xzW5AAAAAIDwSr1+ubKM5coAAAAAgPBypt2vlVw2ngIAAAAAhJr3+plclisDAAAAAMLLXOL11+SK kgsAAAAACK1ELOt1JVeUXAAAAABAaO1bPaei67WSa5RcAAAAAEBo7Xn9//HkcU0uAAAAACC0dh9U cp1vSTIBAAAAAIRU00El1zwlyAQAAAAAEErOHTyTK3OUXAAAAABAOPn2hmtyTd2kAgAAAAAIJfem jad8ZnIBAAAAACHtuO4NJVcsVwYAAAAAhJV/cMk1Y+MpAAAAAEBIuTfM5HrM5AIAAAAAQttxvTcs V3Y+G08BAAAAAMIpmXzT7srM5AIAAAAAQqk76w33yTWWKwMAAAAAQqqrMOeNy5W5Ty4AAAAAIIys ff2HJ3YeXHKNmVwAAAAAQBi57W/8N57kU3IBAAAAAGG0400l15jJBQAAAACEkbM3l1x5XJMLAAAA AAghc8zkAgAAAACiwblDLFeOU3IBAAAAACFkpp1vKrnykixXBgAAAACEjpO9ueSyXBkAAAAAEEpe 7BAbT5lHyQUAAAAAhI6lDrW7csyxXBkAAAAAEDrJuH+o3ZWZyQUAAAAAhI4VZre9+Zpc3++i5AIA AAAAwqZpyXUnvanPepbFcmUAAAAAQMg47TrUv/Zizu8iHQAAAABAyGw/ZMnNjXdQcgEAAAAA4WJv 3llZkrwDa5hTJAQAAAAACA3nHbrkHvhfrssFAAAAAISn4/p2xJLLkmUAAAAAQGiYtPNIJbeTiAAA AAAAoSm57tAzufEDFbhLjpAAAMHRlTS1dLFlRNB1p4wQAABDwjPbefiS66lLfEYBAALkO0/v1nee 3k0QAADgkCwWP8I1ucZyZQAAAABAeCS6/SOUXEfJBQAAAACERrKhrXLP4UuucQshAAAAAEBobFOt 8w9bch0zuQAAAACAsHDacrj/5EmScZ9cAAAAAEBYmDYfseTKKLkAAAAAgJA42kyuZCxXBgAAAACE o+PaUUquY7kyAAAAACAkzNyRS65PyQUAAAAAhISLuaPN5HosVwYAAAAAhIKfPNrGU8zkAgAAAABC IpbbcZSZXGPjKQAAAABAKHQsu35m0xFLrnmi5AIAAAAAwmDLkf7jgZlc105OAAAAAICgczr89biv llwzo+QCAAAAAALPejKTK4+ZXAAAAABACLieLFeWdZAUAAAAACDozHpQcs18ZnIBAAAAAIHnzPWg 5PoeJRcAAAAAEHi+68HGU7EYM7kAAAAAgODzfK8nM7kxSi4AAAAAIPBiqX1bj1pyU1lJSi4AAAAA IOj2Lr151r6jltxcP4uSCwAAAAAIus1H+w2eJHXHurmFEAAAAAAg6Lb0qOTW7axul2TkBQAAAAAI LOthyVWt8yV1kRgAAAAAILCcbexZyd2P63IBAAAAAMFl7mVKLgAAAAAgEpzrVcl1bD4FAAAAAAis ZCrVi5JrxkwuAAAAACCorHNY7oael1xHyQUAAAAABNa29R+e2NnzkitHyQUAAAAABJKTXu7J73t9 yd1HbAAAAACAILLellzn1EpsAAAAAIBgcut7VXJlfhuhAQAAAAACWXHN791Mrm/M5AIAAAAAgsnv 9Uyu55jJBQAAAAAEkot5vbwm12cmFwAAAAAQULHuDT35bczkAgAAAACCblfdDTU96qyvlVxmcgEA AAAAwbS+p7+RWwgBAAAAAALOXu7p73zdLYRSLFcGAAAAAASO6+HOygeVXOcxkwsAAAAACB7fud7P 5CaSlFwAAAAAQPA483tfci2b3ZUBAAAAAAEsuX3ZeErtzOQCAAAAAIInpzOr9zO59R1V+yT5xAcA AAAACAyn5iW3Tm7udclVrfMltZMgAAAAACAw/J4vVT645O7HkmUAAAAAQJD0p+Sy+RQAAAAAIDhM 1tjnkuvkM5MLAAAAAAgMz9OaPpdcZnIBAAAAAEGS8r3VfS65JrUQIQAAAAAgKEypfpXcvUQIAAAA AAiIrhnjpm7sc8l1lFwAAAAAQHCsvfdql+pzyZWMkgsAAAAACAZnq3v7Rw6eyXVeMykCAAAAAALB XP9KrvnM5AIAAAAAglJye3f7oDeVXJYrAwAAAACCwsW8xn6VXF8eJRcAAAAAEBT9m8mNmU/JBQAA AAAEQfeIPZte7lfJNcUpuQAAAACAIGh8rPb8ZL9KbsJPUXIBAAAAAAFgq/rypw4queVtW/ZKMsIE AAAAAAxpxZWr73fJPTAVvI84AQAAAABDyUn9L7kH7CVOAAAAAMBQSstM7v627Ci5AAAAAIAhlW1Z 6Sm5JqPkAgAAAACG0vYX50/sUzdluTIAAAAAIFisbzsrH7LkGiUXAAAAADCk+nY97iFLrqPkAgAA AACGVvpKrrgmFwAAAAAwhExambaSa+yuDAAAAAAYQs6L16Wt5Dpzu4kUAAAAADBEWuvmTNqYtpIr Si4AAAAAYIg4qU7OWdpKroslKbkAAAAAgCFhUl1//vybSm4yqV3ECgAAAAAYIuktucoRM7kAAAAA gCHh5JanteSu2jW1SVKKaAEAAAAAgy0R89M8k1vrfElNRAsAAAAAGGR762+cuiW9JXc/liwDAAAA AAZbXX8f4HAll82nAAAAAACDysmW9/cxDlNyuVcuAAAAAGBwWT83nTpsyTXHTC4AAAAAYJA5vTgg JdeZz0wuAAAAAGAwWW5HfNmAlFyx8RQAAAAAYHCtW3Lr5OYBKbmOjacAAAAAAIPrxXQ8yCFLbsrY eAoAAAAAMKheGrCS64zlygAAAACAwWPOBq7kes6xXBkAAAAAMGh8fyBLbtJjJhcAAAAAMFj2rpo3 9eUBK7lVx03eLcknZwAAAADAQHPSUjlnA1Zy773apSTtJWoAAAAAwICz9Gw6ddiSe8B2kgYAAAAA DDRf9vQglFxHyQUAAAAADDjnZf1jEEqubSNqAAAAAMAA21Q3d/KGgS+5xkwuAAAAAGCg2d/T+WiH Lbkmo+QCAAAAAAaUMz0+KCVXnmO5MgAAAABgQKU8/x/pfDxmcgEAAAAAQ2X3yqZpKwal5Mr3mMkF AAAAAAykB1Xr/HQ+YPxw/yHb/O1JR+IIjmXbO/WFh1lgEAY79iUJAQDQY8v5jA+N7W18xiO9nNNv 0v2Yhy25ZS1btu8sLfd1xNsMAYNnw96ENuxtJggAACJmY3NCG5v5jAcyUIfX3fmXdD/oYQvsY7Xn JyXtIXcAAAAAQLo56c9Lb561b9BK7gGsGwEAAAAApJ1J9w/E4x6t5LL5FAAAAAAg3ZKJpP4wBCWX 2wgBAAAAANLu0YZPV+0a/JLrHDO5AAAAAIC0ctL/DtRjM5MLAAAAABhMuzs97zdDVHIdJRcAAAAA kDZO+uHqORVdQ1NyzWe5MgAAAAAgbczzfjCQj3+UkhtjJhcAAAAAkBZO+nvdnIoVQ1ZynYtvZRgA AAAAAGlqud8f6B9xxJK7fO/EnZK6GQkAAAAAQD/tLErl3zekJVe1zpe0hbEAAAAAAPSHM7f4qQXH dAxtyd1vE8MBAAAAAOiHVpfT+e3B+EGUXAAAAADAALPvLrt+ZlMgSq6ZUXIBAAAAAH3VlYxp0WD9 sKOWXCdtZkwAAAAAAH3hZD+sv3HqoO31xHJlAAAAAMBASaWc/43B/IFHLbl+LEbJBQAAAAD0numn K+dWNwaq5GYxkwsAAAAA6L1OefHPD/YPPWrJrRozZaukFOMDAAAAAOgp57Swbu7kDYErufde7VKS tjFEAAAAAIAe2pXTEf/aUPxgr4e/jyXLAAAAAIAeMee+uOTWyc0BLrncKxcAAAAA0CNrXVPirqH6 4T0rueZRcgEAAAAAPeiPdktdbU13oEuuc9rMSAEAAAAAjlJw/1Y3r+pXQ/kUelRyjZILAAAAADiy Lifvk3LOAl9yfWPjKQAAAADA4ZnTfy2fX7lyqJ9Hj0punJILAAAAADgs19henP21IDyTHpXcjpjb LMkYOAAAAADAG5icf936D0/sDE3JXT2nokvSdsYOAAAAAPCGivvjurlTHw3K0/F68XvXMnoAAAAA gNfZnR3zbg7SE+pFybX1jB8AAAAA4NWW6Oz6F+ZU7AxnyXVaxxACAAAAAPY3XP1oxdyp9wTtafW8 5PpuPaMIAAAAAJC00cvpmh/EJ9bjkmseM7kAAAAAAPnO/A8uu35mU6hLbsx3lFwAAAAAyHjutuXz pz0W1GfX45I7vHnzBklJBhQAAAAAMtaL2pv4fKAreG9+c82i+nWSJjCuAAAAAJBprN382CkrFlTU BflZer377Ww+BQAAAACZ2XG9G4JecHtdcp18rssFAAAAgEzrt7Lv1s2v/GEYnqvXuxfGTC4AAAAA ZJgXS/yCBWF5sr2byXXcRggAAAAAModrisXsXU8tOKYjkiXXRMkFAAAAgAzhm9n7l944NVQ9sFcl N56i5AIAAABAJnCmL62YX/XHsD3vXpXcl1oqt0rqZLgBAAAAIMoNVw8ub678Yhifeu9uIVTrfDlt YMQBAAAAILLqcjvi71et86NfciXJHEuWAQAAACCatsrFL11y6+TmsL6AXpdc4165AAAAABBFHSZ3 Zd3cyaFevev1/g94axl7AAAAAIgU3zm9f8W8yqfD/kJ6XXJTZo2MPwAAAABEh5O7dfncqvuj8Fp6 XXJjcvW8BQAAAAAgMg33+8vnVd4WlZfT+2tymxNrJKV4JwAAAABA2Auu/W5k05ZPRquz90HNovo1 kibxjgAAAACA0HoyN6/owiXXlbdH6UV5ffxzDbwfAAAAACC0lmZZ9tujVnD7XHJNRskFAAAAgHBa razkxS/On7g3ii8u3pc/5Mw19G2hMwAAAABgCG1OmX/hqhtqtkX1Bfat5DrXYDLeHgAAAAAQHrvl eRetmlO1Psovsk/LlVPxJMuVAQAAACAsnJrl69K6ORUrov5S+1RyV+6atlFSB+8UAAAAAAh+wTVz F9ctqHomE15u33ZXrnW+nFbzbgEAAACA4BfcFfMqn86Ul+z1+U8aOywDAAAAAAU3IiXXOUfJBQAA AICAFlyldFGmFdx+lVxfrpF3DgAAAAAEzl6ldFGmXIObtpLrKVXPewcAAAAAgsQ1ec5/a6YW3H6V 3O6Ex3JlAAAAAAiO7TK9ZdncaUsyuub35w/XLKrfLWkY7yUAAAAAGFLrfZe6aOXc6oy/rNTrzx92 ErO5AAAAADC0VsR9nUXBTUPJNRPX5QIAAADA0HnSy+4666UFVZuJIg0lV56tIEIAAAAAGBIPJ7vs bcuun9lEFGkquea7ZUQIAAAAAIPu57l5rZfU3zK1lSgOFu9XQ/Zjyy2WIkUAAAAAGCzmFtc1VyzQ POcTxpu5/j5AzaL6JkmlRAkAAAAAAyplzs1fMbfyTqI4PC8Nj1FHjAAAAAAwoNrke1dScAeh5DrZ cmIEAAAAgAGzxTfv3LoFFb8jikEouSZHyQUAAACAgbHUpWKnrZxf8TxRDFLJdeZTcgEAAAAg/f6U 7LKzlt80ZSNRDGLJ7U55lFwAAAAASCMnfaO6vPId3CKoT9n1X82i+q2SxhAnAAAAAPRLp8x9sm5+ 5Q+Jom/SsbuynBOzuQAAAADQP5sknUPBDUDJNdMyogQAAACAvnHS352yTqqbV/UsaQSg5DoZ98oF AAAAgL4wfS8nr/Wty+dN2k4Y/RdPx4P48pY7GWkCAAAAQM9x/W1QS67LStQpEfeVpplhAAAAAIi4 dTL3rrr5lS8SRXqlpZTW3VDTJull4gQAAACAI3PSb7zsrtkU3IERT+NQLZNsIpECAAAAwCF1OWe3 LJ9TdYec43rPAZK25cUm4zZCAAAAAHBo603u3OVzpy6m4Iak5DrHbYQAAAAA4BB+0eV5s1bMq3ya KAZe2pYr+0ot8RQjUQAAAADYr9M5u3X53KmLiWLwuLQ9kpmrWdywV1IxsQIAAADIcCs933/vsgXT WPE6yNJ3yx/nTGYvECkAAACADGYyfS+W6DyZgjs04ml9NM8tkelcYgUAAACQgbY5cx9bPr/yQaIY Ol46H8x8e55IAQAAAGQc5+5Lxf3pFNyhl9aZ3FjcLfFThAoAAAAgY7TIuZvr5lZ+jyiCIa0zuct2 VzZIaiVWAAAAABngCc/cCRTcCJdc1TpfTi8SKwAAAIDosnZJC+r2Vp6zbH7lWvIIlnjaH9F3z8vZ 2UQLAAAAIIIej0kfWzqvqp4oMqTkOs+WmBEsAAAAgAhxanay/1jeVHWnap1PIBlUcv2U97zzGHMA AAAAUem3+oOSsU8sv2nKRtLIwJJbM37KqhVbGvZJKiBeAAAAACGut01yunU5G0uFipfuB7z3apeS 9BLRAgAAAAhtvZW7N9tzVeycHD7xAXrc5yWdQbwAAAAAQma1nN24fG7Vn4iCkvsac0vk2H0KAAAA QGh0S27hvpKs2vUfnthJHJTcgzjnP29ypAsAAAAgDB7xYrph2Y2Vq4iCkntI08qr6lZsqW+XXD4R AwAAAAiozZI+Wzev6sdEER3eQDzo/s2n3DLiBQAAABBA3ZK+Gkt0VlFwo2egNp6SyZ52cqcSMQAA AIAAediZu3H5/MqVREHJ7RXn3FMyzSFiAAAAAEPPNZr8z62YN/VesqDk9vWhn5SSJAwAAABgKMtt k+R/rcvzFq2eM7WLPDJgxAfywWsW1W+UNJ6YAQAAAAyypEw/iCVj/7705ik7iCNzxAfywc30pHO6 mpgBAAAADBbn9FBKqfkr51UvJw1KbnrfXLKnJEfJBQAAADAYVvlON62cW/UHoshc3oA+urkniRgA AADAANso564buXfLDAouBnQmN7eg9YXOjsJ2yeUTNQAAAIA02yXZ1/eV5Cxe/+GJncQBaYA3npKk 6Yvq/2bSOUQNAAAAIE3aJPetLs99ZfWcihbiwOvFB/oHmPSkKLkAAAAA+q9bph86l/X55fMmbScO DEnJ1f7Np0gaAAAAQF8lZfp5Sv7nV82ftp44MKQlN9uLPdXt+yaaLgAAAIDeSTjZT82Pf6VuwZTV xIGeGJTiWbO4vl6mSuIGAAAA0JNyK9MvfC/1pZVzqxuJA70RH5Sf4uspOUouAAAAgCPqlumXLuZ9 YfmcijXEgcCWXHPuKSf7EHEDAAAAOIQumX4UN33xpQVVm4kDgS+5MT/1pO95pA0AAADg9faZ7H9T MX2t/sapW4gD6TA4m0HVmldT2rhLsjIiBwAAADLeTmf6djLLv3PVp6btJg6Er+RKqlnU8CfJLiZy AAAAIGOtcc7uLEoVfO+pBcd0EAcGQnwQf9bjkii5AAAAQOZ5wjd9fWVz5QOqdT5xIBol1/xH5bhV LgAAAJAhfEl/kNlX6+ZPfYI4ELmSm5vf9kxnR1GbpEJiBwAAACJrn8l+Ys6/nXvcYigM6tRqzaL6 P0i6hNgBAACAyFkj2f/IT/1P3YKaPcSBoTKY1+TKyT1qMkouAAAAEA2+c3rEN/teTXnVr++92qWI BJlVcl3qETPulwsAAACEXItMv3Byi5bPq1wpSSvIBAExuDtBcb9cAAAAIMxWOWff9bq7vr/05ln7 iAOUXEnTF9Xfb9IVRA8AAACEQqeT+13K9L2V8yoelnNGJAiy+OD/SPeoZJRcAAAAINDcEjl9L9np /7z+lqpWSdJ8UgEl901SLvmIZzGSBwAAAIJnr0z3SO47dfMrXyQOhNGgL1eWmatZ3LBF0hjiBwAA AIac75weMdNPiv38e59acEwHkSDMBn+5snOmRfWPSbqG+AEAAIAhU+ek/0vFUz9b+anql4kDlNz+ Fd1HZUbJBQAAAAbXZpP9yjl3b93cqseJA5TcNPGVfNQT1+UCAAAAA86pWb4ekHn3jmzZ9MfHas9P Egqi/ZYfIjUL69fL6TiGAAAAAEi7Lkl/lXRvbl7RfUuuK28nEmSK+BD+7MckfYghAAAAANKiQ9If zezXeV1Zv19y6+RmIgEldzB5elRGyQUAAAD6ztol94ike5Nddn/9LVNbyQSU3CHikrFHLJZiBAAA AIDenUk3yez35ux38UTXH5bePGsfmQCv+xsylD+8ZlH9SklTGQYgrEcQd518jZezsySdIqmAUAAA GBCbZXrQxbxf5eQ0P7rkupMSRAIcWnxof7z7k2SUXCCsHdfXP5bPr1wpSefVPhrfWVp+gjl3mied amanSppCSgAA9ElK0tNOetDM/aFuXsVLcs6IBejBOepQ/vCaxaveJnN/ZBiAcPJ8f+ayBdOWHe6/ z/7qmpL2nNTJMdlZ5jRb0hmShpEcAACHtMfJPWxOD/l+8ncr51dvJRKg94Z0Jrc4VfC3Fq+9Q1Ie QwGETyoeP+Ix5MCujg8d+CXVmjetbGV1zLxTTe50SSdLqtaQryoBAGCImF5ynv5g0h+qx1Y+de/V jk1rgH5yQ/0EahbV/0HSJQwFEEqn1M2rerY/DzD7rueyOvaVVDrPn22y2U5utqSTJOUQLwAggrY6 ucfN6SGX9P64/KYpG4kESK8hnz1xzv5s5ii5QAh55vX7GHJg44y6A79+TPEFAETMLif3qJz/hJM9 vmzutCVEAgxwxxzqJzD1GysrYzGvnqEAwsd8nbNiQdU/BuNnTbh7XW5BU/dM52y2OTdb0mxJNZKy GAkAQIC0yunvMj0sT4/U3Vi5lA2jgAwruZJUs6h+jaRJDAcQtiOIvaVu7tRHh+rHT7mjMSfH92c6 Z7NlOt7kZkiaLqmYwQEADA7bIOlxyT0lc49Xj6tYxnW1wNAKymYvf5J0PcMBhKzjutiQzqKunlPR JenZA78OnGuYm/nN+glJXzOdeTOcNNNkMyRVSIoxagCAfkhKetFkT8rpiayUe+KlBVM3v/431JER QMmVJN/pQc8ouUDomF8QwOZtS6V12v/rt6/869Nv35jXFmurTik205nNcE4zzDRL0kgGEgBwGJvl 7HmZ94yc/0Ssu+uZpTfP2kcsACX3qBLOezjH/DZJhQwJECqh+Tv71IJjOiQtOfDrVTO+vbTMT+TU ONls8121nGokO0Fy+QwvAGSUrZKWONMSM2+J7xLPcZ9aIJxcUJ5IzcL638jpcoYECJUb6uZVfTtq L+q82kfju0rGVcjZTF+a6ZzNkLkZkiYw5AAQAaaX5fS85J43syXxZGzJ0pun7CAYIBqCck2unLPf mRwlFwgTZ5FcffFY7flJSSsP/PrlK/9+yh2NOdlJTZGXqvbM1ci5apMmSVYjKZc3BAAE7oOqSbIV MtU5z1akfK8uN+ZeemFOxU6yASi5A86yUg8qEfcleQwLEBK+l1GXGBzY6OqVe/re+8q/r6mty7bi 7ArnUtPkaZrMVUuaeuAX5RcABvg0UtImma2Uc3UyrfBj3vL8dm/lklsnNxMPkHlckJ5MzeL6p2U6 hWEBQmNh3byqBcRwGLXmTR+2eqJSNtVkVeaswslVSqqUNJ6AAKDHuiStc9JamdbIszUyb415bu2+ ovja9R+e2ElEAF4RD9bTsd9LjpILhIVTESEcqeQ6f7m0Rvt/Pfj6/zTztpcKkjn5lbJUhTOvUmaV 8lQlcxWSlREegAyTkGmLPG0w08uSNniytTJbY1722rqmSZtU63xiAhC+kut7v5OzLzIsQEgYJbev DtyC4oUDvw5ywh2NI7tTqUonVZlzFZJVSG6SpMmSikkPQPi4JpltkGcbZO5lOdso39so+Rvi5tZX jq/cdu/VLkVOANJyxAnWCbO5msX16yV3LEMDhOAA4vTQ8rlVF5LE4Kn8ev2IeNxNdk6TZZok2WQn TbL9Bbg8cMd1AJkgIWmz04FZWM82mHkbZbZB5m1wOd0v191Q00ZMAAZLsGZynTNbtOo3TprD0ADB Z75GksLgavh01S5JuyQ9/cb/NuHudbkFrclJzrdJcv5k+W6yeZok02Ttv/0Rm2AB6PWhXtI2OW2U abPJNnryNpmzjU7+hljKe/mllsqtLCUGQMk9As/sfnOOkguEgdMoQgiOAxuvrDjw601mfHtpmRJZ k/xUvFwxGyvTpAOzwJMkmyyplBSBjDuQN0laK9lWmbbI2VrJbfXNbXHmtuYVFKxbcl15OzkBCNcp asBcdY/FVmxp2CJx8gyEQKJubmWOnDOiCL/Tb9+Y1+Y6xialSd7+GeADJdjKJY2VNFEshwYiUWDj 0trs/JaNS647KUFOACi5g6BmUf33JX2U4QGCL8uyy16cP3EvSUTf7Lu25He1tx1n8sfKufFOGm/S 2P37KNhY7b8t0mhxv3NgoG2X0w6ZNu3/Z9ts8rZ7vjbLS23zXHzDsD2btj1We36SqABkongQn5Qz d785o+QCIdDldYyURMnNAAeWLK488Ouw3rgs2vlWbtJY52mSmcoPlGF2iQbefAbUJNlW57TFfG2V c1uc87f6pi3Oua2e77Yw+woAIS25nTH3UI5vLZwEAcEXkxspqZEk8Ipl189skrTkwK9DmvrNlcNd ysY6xUbLtzHOuVEyN0ay0Qeu9R6r/ZetjArqZxXQQy2S2y7ZTsntMvlb5Nw2Z9ri5LY6l9ra7bmt M0dXbecWOgCQHoG9tqpmUf3PJV3DEAEBP4g4vWv53Kr7SQIDZeZtq0clYzbSudRok8Y6aaScN0Zm Y5zTyP1LpjVa0nBJOSSGAbZH0g5Ju5y0y0w75GyHc9rl+9rlxWI7Tf72eFK79sW9XavnVHQRGQAM rsB+O26yXzs5Si4QeMZ9rTGglt48ZceBUlF3tN9b8626Qt/ccOdnDfN8G2HOhsvXcHk2TL433JwN 96RhJg2X3DBJIyQrI+WMtU9Sk5yanKnJ9s+2bpe0y5zb5WQ7JdvupWyXn+PvHLlzxy6ucwUASm6f uazUH5WItUsun2ECAlxx5Si5CIy6G2raJLVJernHf6jWvBOGrR6edP5w8/1hlooPV8wfJl/DnVRs TsVyKnLmSkxW6qQi2385TbGcimQqIfmhOllQs0ytkloktTqnVplrMlnL/v/mmmTWJM81mW9NFvOa svxUkxLxptS+rr11tTXdhAgAUfx4CLDpixruMdlVDBMQ5KOIu69ubiV/T5HRjl+4rjTpp4p8Z8Ux p2KLqcj3UyVOrlSmolfLsqnApFLnLOacKzHfsuS8QslyJeVJKpBctmTFkmIRiMaXXLMkyaxFTilJ HZLrlGyvnDqduXaTtUjqklyrnL9v/z97e2XWabIOz4s1p6QWl1SrZ64l7sVa2dUdAHA4gd7Mw8y/ R85x8gwE+y/qcYSATHegcKW9dB2/cF1pKqfVqSv31SXVKXN5sVgyV5Is5VzKeaWv/DfPXJ55qdye Pr4nFZvzXl+m28z8g3bu9cxrT0kHXVfqedbpye+QpKQft7hsryTFY641Ge9IFnaWdT614JgO3hkA AEruG+wrzfl9QXM3uywDwcZyZWBgy7MkNZEGAAA94wX5ya3/8MROSQ8yTECgjTr99o15xAAAAABK bg+Ys18yTECgub2x1vHEAAAAAEpuD3S72J/k1MxQAQFuuX5sCikAAACAktsDq+dUdMn0W4YKCHDJ dZpKCgAAAKDk9pDvxJJlINimEQEAAAAouT00umnLXyRtZ7iAgGImFwAAAJTcnnus9vykM93DcAEB ZaomBAAAAFBye8F37mcMFxBYwyu/Xj+CGAAAAEDJ7aEV8yqfllTPkAHBlJXFkmUAAABQcnvFnH7O kAFB/QvK5lMAAACg5PbuyTrvp5KMYQMCiM2nAAAAQMntneVzKtZIeophAwLYcY2SCwAAAEpuH06k 7acMGxA8Js0gBQAAAFBye3sibalfSupk6IDAOabqzlXlxAAAAABKbi/ULajZI+m3DB0QPFm+O5UU AAAAQMntNf9uhg4IHjNKLgAAACi5vVa3d+pfJdvA8AGBQ8kFAAAAJbfXap0v837E8AFBYyefV/to nBwAAABAye2llFI/kOQzhECgFOwqHVtNDAAAAKDk9tKq+dPWS3qMIQSCxZx3GikAAACAktsHTsYG VEDQSi7X5QIAAICS2zdFfsGvJNfEMALB4cwouQAAAKDk9sVTC47pkOnHDCMQKNNm3rZ6FDEAAACA ktuXFxC370oyhhIIzl9LPyt5ETEAAACAktsHy26sWiWzvzOUQHD4cheTAgAAACi5fX8ZdzGUQHA4 6W2qNY8kAAAAQMnti+bEryTtYDiBwBgxo2zVCcQAAAAASm4f1NXWdMvcDxlOIDjMj72NFAAAAEDJ 7fsLuUuSz5ACASm5nnFdLgAAACi5fbVsfuVaSX9hSIGgtFydPvura0oIAgAAAJTcPvKd7mRIgcCI d+WkLiAGAAAAUHL7aGVT5Z8k18iwAsFgzi4jBQAAAFBy+6rW+U76JsMKBMYVNbV12cQAAAAASm4f Jbr8u+XUzNACgVBqJXGWLAMAAICS21f1t0xtNbMfMbRAQA4yzq4iBQAAAFBy+8Gc/01xOyEgGH8f 5S5nyTIAAAAouf2wcm51o5P+xPACgTDMlcXfTgwAAACg5PbrlXmLGV4gIEwfJAQAAAAMBhflF1ez qP55SScwzMCQSySSKm/4dNUuogAAAMBA8qL84pzTQoYYCISseJZ7HzEAAACAktsPObmtv5BsA8MM DD1n9kmZOZIAAAAAJbePllx3UsLk7mCYgUCYVn1Hw1uIAQAAAJTcfkh12fck7WWogaHnfN1ICgAA AKDk9kP9LVNbZe57DDUQhJary2beuWoiQQAAAICS2w9xszskdTPcwNAfc1IpfZIYAAAAQMnth5cW VG12sp8x3EAQuI/OvmtLPjkAAACAktuvVxr7T0lJhhwYcsM621v/hRgAAABAye2H5XMq1ki6lyEH AuFT3E4IAAAAlNx+v1rvy5J8hh0YYk6zahbXX0YQAAAAoOT2Q92cihVOeoBhB4JQdN2XVWseQQAA AICS2w++531RkjH0wBAzzagpbbySIAAAAEDJ7YcVcypekPRnhh4IRNP9ArO5AAAAoOT2+7zavszQ A4FQU1PS+F5iAAAAACW3H+rmT31C0sMMPxAATl84r/bROEEAAACAkts/nxHX5gIBYBU7S8u5by4A AADSIqPvUzl9Uf2DJl3K2wAYcuuL/fzqpxYc00EUAAAA6I+M3vDFOf/zYjYXCIIJLa7jM8QAAACA fve8TA9g+qL6+026grcCMOS6Y7KZS+dNrScKAAAA9FXG37oj5VL/LsnnrQAMueyU6Q5iAAAAACW3 H1bOrV5upvt4KwAB4NxF0xc2vIsgAAAAQMntVwiuVlKKJIChZ85fOPO2lwpIAgAAAJTcPlo+v3Kl nO4mCSAI3LF+du7nyAEAAACU3H5IevYfkrWTBDD0zHRT9R2NJ5AEAAAAKLl9VH/j1C1yWkgSQCBk O9//2ey7tuQTBQAAACi5fZTs1NckbScJIBCmdXa0fo0YAAAAQMnto/pbprY6ua+QBBAYN0xf1PAO YgAAAAAlt49y8lq+I2k1SQCB4Ez2v9MXrR1NFAAAAKDk9sGS605KyOnfSQIIjFGmxN0yc0QBAAAA Sm4f1M2p/KWT/kkSQGBcMn1R/SeIAQAAAJTcvnDOnPM/JcknDCAYzLnFM+5oPJckAAAAQMntg2Vz py2R9FOSAAIjy/f9+2YsbJhEFAAAAKDk9oFT1v+T1EISQGCM8GW/nnnbSwVEAQAAAEpuLy2fN2m7 M/ffJAEEiNOsVFbuj9mICgAAAJTcPuiMua+LWwoBQfOumsUN7IIOAAAASm5vrZ5T0SWzz5AEEDi1 NQtXvYcYAAAA8Hos9+uhmkX1j0g6nySAQOlynvfO5XMq/kIUAAAAkJjJ7bFUyv+EpC6SAAIlx3z/ N9xaCAAAAJTcXlp107QG53Q7SQCBk+f7/gM1i+pPJgoAAABQcnuhKJX/JUnrSAIInGJJf6m+o/EE ogAAAKDkooeeWnBMh5luIAkgkEqd7/9pxp31U4kCAACAkoseWjG/6o8y/ZYkgEAa5af0l+kLG6YR BQAAACUXPU4tPkfSPoIAAukYc3pi+uKVZxAFAAAAJRc9UDd38gbJvkQSQFBZmZn3l5pFKy8mCwAA AEouemDk3q3fkNwSkgACq0DyHqhZ2PA+ogAAAMgcjgj6ruaO+lny9aykLNIAAstMunnFvKpvEAUA AAAlF0cruovq/0vSrSQBBL7r3lZdXvWZe692KbIAAACg5OIwptzRmJPj+y9IYjdXIPA91/4WS8av XnrzlB2EAQAAEE1ck9tPq+dUdHnmfVSSTxr/f3v3HmRlfed5/PP9ndOHBqRbEES5KDR0n+4+DWhA TQRnIIOTyzimaqZgMsmMiU5l2YraFzJsWVuTqZ5kt2YzmeVmspZuKlTFzSYLzu5MNE4mwcGMWGik lUufviIgykWE0Nz7cs7znT8aJ2QHg2J3cy7vV1UXlyouvrs95/nwPOc5QI4z++1sSXZ73eqOBcQA AABg5OI97G6q3CazxykB5IXpHuyF1JquL5ICAACAkYv30Gf2iOQHKAHkhVKZb0it7Vy9uHlLnBwA AACFg9fkDqHUmo6Py2wzXYG88nI2G93X8ZWaLlIAAADkP87kDqF0U/U/u/xRSgB55Y5YLOyoW9fR IHf+gQoAACDPcUA3xGZs2Fc69mT/dkkpagB595D4T5lY9EDnw9WHaAEAAJCfOJM7xPbfP7M38nCf pAFqAPnGPxHPWjq1rutztAAAAMhPnMkdJqk1XV+T+VcpAeTpg6PZ9z0+8OfpB1NHqAEAAJA/OJM7 TCadPPg1Sa9QAshP7v55ZeIddes6GpZt9BhFAAAA8gNncodRan13raJou6TR1ADy2mtRCF9ur698 iRQAAACM3KJWt67jP7rbY5QA8p7L9b8SsfCV1+or3yEHAAAAI7dopdZ2PCXZH1ICKAjHJf3Xs+WJ x/bfP7OXHAAAAIzconPLmn3XDlj/a5JmUAMoGG/J7OuTThz87vPNSzLkAAAAYOQWldq1XXeY/AVJ JdQACkqny7/a1pB8SmZODgAAAEZu0Uit6/iq3L5GCaAgbZeiv0g31vwTKQAAABi5RWHZRo+1Here LPliagCF+qDqr0r2NzVTqp7atNyyFAFQEJo9pMq6FsjsntIxp77esmLBAFEAMHIhSZq3unNqJqhF 0mRqAAVtr1yrS8eM29CyYso5cgDIN9Xfar8uZO13pPAJc/+9d49dSjwxfkfTzB4KAWDk4t/UrWlf 7BZ+JilODaDgHTPXtzMl0aMdD9UcJweAXLVso8c6DnfcErktNbOl7lp8qWOVeKRpO1cmD1IMACMX vya1rvMRuf6aEkDR6DPZj7KuJ9obK5/jJlUAcsGcNV0VUdBScy11+d2Srr3cr4nJq3c1VndSDwAj F7/O3VLruv9e8nuJARTbA6+1RqYnElHJk1zyB2Ak1axpuzGE+BK5L5Z0t67g7Q2DRQt2N9S0UBMA Ixf/zoX3z90uaRY1gGLk5yTbGDw8sbupchs9AAy11LfTNygTX2zui122WFLyw/6eIYTFu+srf05d AIxcXPrJZ33nPEXaJmk0NYCitkduPzDpB61NVe3kAHAlar7VdnMsE+6StMhlvyWpZqj/jMj0e+0N yWepDYCRi/ceumu6vijzDZQAcMEOmf9AKvlhumHWAXIAuKRmD3XXdta5210yLZR0l6Rpw/3Huvkf tTVUb+QTAICRi8sN3bUyb6AEgIuPJSW9aLJNIRY9vevh6n0kAYrX7PXdZQmPbguRfVTmd7p0p97H jaKGfuTaA20NVfzjPABGLn6zZRs91nao62lJn6IGgPew1+XPuIenJ588+PzzzUsyJAEK15w1XRWR +SKXzzeFhZLfKilc7b+Xm9W3NVQ9ymcIACMXl5VanZ6gEH9Z0mxqALiMtyU9E7meGYiFf95TX3mK JED+Sj7aMSU+EJuvWPYOuX1U0m2SynLx7+qu/9zWlORtEAEwcvH+zF3bkczKXtJVuPwIQN7KSrZD 0ubItfn8tSVb998/s5csQG4PWrNovpvmS5ov6cb8+S/w/5JurP4qn0kAjFy8bzXrOj8dXD+SFKMG gCtwXtJWyZ9TZFtKx55+rWXFggGyAAzaodm4ti7dVNXIZxcAIxcfSGpdx3+S2zcoAWAIDEi2yxW9 KGlrfCD+812rZh8lCzB05j++veT82fIqhWxtcEtdGLS1kioK8OjxO+mG5Jf4rANg5OIDq1vb8V2X 3U8JAMPw8N8t+TZzf8ndWso0Zve2ldPP0wW4vMH3oo3PidznmGmepDmSqiTFiyTBD9ONyT/mKwFA roqTIHeNGn1mRe/5cdMk3U0NAEPLKyVVutl9MumUzmXq1nZ1uPtrMr0WQng1cS7saHlk1klaoSg1 e5h7XefN2UhJudXIVS1TrUxzlFG5y2XFeqrAfCxfIABy+mGKBLlt9vruslFR9IKkudQAMNJLWNI+ mafloU3yNou8zUdlO9IPps6QB4VgxoZ9pWNP9yfdPWlu1ZJqJCUlVUsaTaFL2pJuTH6cDAAYubhi c9d3T8tG0TZJ06gBIEfG7xuS2t09LQt7ZL4niqLX50ytfnPTcsuSCLkk1ZxOZMeFGbG4VcitQqZZ 5qr2wSE7Qznw3rN55pV0Y/J2MgBg5OJDqVvbMddlLyhH3zMPAC7ol7RPpj2KbI/M95hsv0XZN2JW +uaOppk9JMJwqP5W+3WxTKiwwRs9zfLBbyvkqpBpOkN2SLWlG5MpMgBg5GIIhm7X3S7/saQSagDI U6clHZB0wOQH5OFNBT+QjeywuR029R9Or0z9kky42OLmLfETZVMmZ2PRzR7ZFAua6rKbJLvJPKpw s1lylVNqpPiBdGP1zXQAwMjFkEit6/yCXBv43AEoYH1yHZHpoKS3JR2U9I5cxxV03NyOR8GOmYfj sf4zx3etmneWZHn8vNacTmTLw5RYCNMU2XQ3n2Ju02XRNJNNdWm6pBvEe8fnkuPpxuREMgBg5GLo DgjWdjZJWk0JAJAk9Uo6/m8fZsfdo2NmOi6349LgMDbZL+WZM1ZiZzzW33Pd0eOnn29ekiHf0Frc vCX+zqTrJ0YZmxgUJkk2Wa6J5proQRPN7Xr36HqZTZQ0UdL1HI/knb50Y7KUDAAYuRjiodvxdcn+ ghIA8KEH8hlJpySdlOmMXGdMdsbdTyhc9GPTSclPmdSXjex0CN4bFJ0fyOh0ImEDHuvviTw2kO93 np6xYV9p4nj/NaNiVpaRXSvza2KucTK/xs3KTSpz93EyXSOpzFwTfXCsTrrwMYEvq8JXOvp0omXF ggFKAGDkYoiHbue3JX2ZEgCQa/ycFPrkfkqmAclOSlG/mZ31SGfNrN/lWblOSZKb95rC+Qu/+IwU XXo8uPoUwrlf/Tga/6vvh7H8PeQ7AAAOXUlEQVQyJQaf3b1Eka656Nm+3GRh8Lfw0WYqlSR3jZY0 TtI1ko2XfJykOJ8/XE6JJ8ZzIzkAjFwMwzGUW2pd13clfZEYAABgpMQjTdu5MnmQEgByEbfTz2dm Xjr69H+Q6cfEAAAAI8WDX0MFAIxcDIuWFQsGSkvHLZf7z6kBAABGZOQaIxcAIxfDOnSnnCsdU/Zp yZ6nBgAAGG4Zj42lAgBGLoZ96MYGzt9j0r9QAwAADCdzZ+QCYORi+O1aNe9sbwi/b9JL1AAAAMO4 crlcGQAjFyNjT33lqVG98U9KepkaAABgeEZuYOQCYORi5LQ8MutkaW/8EzL9ghoAAGDINy6XKwNg 5OJqDN1Q0vdJSa9QAwAADO3KFWdyATByMfJ2f3nuidhA7xJJz1EDAAAMGc7kAmDk4mrZtWre2dLR 4+6V9BNqAACAITqE5EwuAEYurp6WFVPOqSfzGZk9RQ0AAPDhcSYXACMXV1m6OdVfe2PlZ02+gRoA AOBD4kwuAEYurr5Nyy3b2pD8M5evpwYAALhyxplcAIxc5MpzknlbQ7JRbl8nBgAAuDI+jgYAGLnI qaGbbqr6Szd7QFKGIAAA4IMdS4gzuQAYucg9bQ1VGxSFP5D8HDUAAMD75oxcAIxc5Kj0ysqnFdkS Se9QAwAAvM+Ry42nADBykctDN/kLC+FjknVTAwAAXJYxcgEwcpHjWusrX1fJwG9J2k4NAABwGVyu DICRi9yXfjB15Gx54i4z+z41AADAb1C6uHlLnAwAGLnIefvvn9nbWl/5p5I/IimiCAAAuJTTpTdx NhcAIxd5wszTjdXfcPlnufMyAAC4lEwiw+tyATBykV/aGqs3ycNCSW9SAwAAXKzPI87kAmDkIv+k m6p2xCN9zOSvUgMAALzLQpwzuQAYuchPO1cmD54pH7VQpu9QAwAASJJxJhcAIxf5bP/9M3vTDckv SfqCpPMUAQCg2Fcu75ULgJGLApBuTH4v8rBI0n5qAABQzCPXGbkAGLkoDO1Nla9m49ECuf+UGgAA FOvGDVyuDICRi8LR8VDN8dqpyU+b66/E++kCAFCMK5czuQAYuSgsm5ZbtrUp2Wxu90p6hyIAABTT yOU1uQAYuShQrU1VP44NxOok/YQaAAAUCXcuVwbAyEXh2rVq9tF0Q9WnzbxRUj9FAAAodMbIBcDI RaE/15m3NlSvk7RI0usEAQCgoHG5MgBGLopDujH5Sl8IHzGz71MDAIBCxeXKABi5KCJ76itPtTZU /YmZ7pPUQxEAAAqMaRwRADByUXRaG5JPqiRTI/OnqQEAQAFxXpMLgJGLIpV+MHUk3VB9r6QvSDpN EQAACgIjFwAjF0U+dhuT34vi2TmStlADAIC8x42nADBygfaHat9I91QtlXuT5OcoAgBAnnJGLgBG LjCo2aJ0U/XaWEx1kn5CEAAA8pBxuTIARi7wa3Y9XL0v3Zj8lMuXSzpKEQAA8gpncgEwcoFLaWus 3lTiiaTL10uKKAIAQF4oXdy8JU4GALnGSIBcUru68y4LelxSDTUAAMjxldsbv7blkVknKQEgl3Am FzmlbWXyhbPliY+4qVnSeYoAAJC7MokMlywDYOQCl7P//pm9bQ3Jv4pHqpTrSUlOFQAAck+fR9x8 CgAjF3i/dq5MHkw3Je8zjz4uaRdFAADIsQPJkjgjFwAjF/igWptqnp/Uc2i+zFZIOkYRAAByRCbi cmUAjFzgSjzfvCSTbqh6IhFCrVxPSMpSBQCAq8x4GyEAjFzgQ3mtvvKddFNyRYipzmSbxOt1AQC4 ihvXuVwZACMXGAq7H052tDZWLY9CuNOkf6EIAABX5VCSM7kAGLnAUGqvr3yptTH525Hb3TLtpggA ACPInJELgJELDMvYbaraPOnEoY9cuDnVYYoAADASuFwZACMXGDbv3pyqL4SZF8buIaoAADCcGzcw cgEwcoHhtqe+su/C2K1g7AIAMIy4XBkAIxdg7AIAUDBcnMkFwMgFrtbYLYvGzJZ7k3jNLgAAQ8M0 jggAGLnAVbJt5fTz6abqterJzJD0BUltVAEA4EOtXM7kAmDkAldbujnVn25Mfi/dUzVHUbhX0otU AQDgSnB3ZQC5x0gASHPWtc+PotAg0+ckxSgCAMD78nK6MflRMgDIJZzJBSTtbqhpSTcl7wsx1Un6 n5KfowoAAJdh9iYRAOTcQxMJgH9v/n97vbx3dPaP5N4oqYYiAABczLcpiv11emXl07QAwMgF8kmz h7ry7k+5+UOSfldc/QAAKOJlK9Ozkv/3dEP1FnIAYOQCea5uffcsj/xLkn9J0gSKAACKRL9c/8c9 fKNtZWWaHAAYuUCBmfvNnWOz8dHLFPwBuRbx/xEAoEAdl/wxU+JbrY0Vb5MDACMXKAI169oqY1Hs 8y59UaabKQIAKAB7zXx96O/7zq5V886SAwAjFyhCyzZ6rP1Q96dc/oCkeySVUAUAkEciST+V/LF0 T/IZNVtEEgCMXACSpLnf3HN9Np75nMw+J+k2igAActgxyTdYiD3eWl/5OjkAMHIB/Eap1XtmK5b5 Y7l9VlItRQAAucG3mdljZ8oSm/bfP7OXHgAYuQA+sNrV3alg0TI3fV7SbIoAAEb4sO+E3DdJ9li6 qWoHPQAwcgEMDXdLre28003Lze0z3LAKADCMspL+0UzfHVV6+pmWFQsGSAKAkQtgWP3qDK/dI/l8 igAAhuAIr8si/SBbkt3Q/lDtGwQBwMgFcFVUr2mfEQ/2GcnucddiSXGqAADep1/K9ZSCnkzXV70o MycJAEYugJxRt3bvZKn/HrfwSbkvlXQtVQAA/58zcv0/Bf/fk04c3vx885IMSQAwcgHkvGUbPdZx uOOWyG2pZL8v6WOSAmUAoChlzbTFXU+qJPN/0w+mzpAEABi5QF67dX33pH73uxX5J2X6XUmTqQIA BS0jaYvMnsrGsn/X8VDNcZIAACMXKFhz1nRVREFLzbXU5R+XdB1VACDvZSW9ZOab5IkftjZWvE0S AGDkAoxe+e9ImkAVAMgLvZI2S9pU2hv/h5ZHZp0kCQAwcgFcZNlGj7W/1XmrQlgi+WKX7pI0jjIA kDNOS3rW5X8XH+h7dteqeWdJAgCMXAAfYPRedBOrRTLdJVc5ZQBgRO2T62fy8ExfXD/dU1/ZRxIA YOQCGAKp5nRC4+O3e6S7LGih3O6UfDxlAGBIDUh6waVn4/JndjVWd5IEABi5AEbInDVdFZH5IrkW yrRIUg2PFwDwgR0z2RaXP1PiiR/taJrZQxIAYOQCyAHJRzumxLJaaB4ujF6fJylOGQD4NRlJv5C0 2WXPtvVUvqJmi8gCAIxcADlu7jd3js0mSm+V+0LJFkm2kEucARSpvXJtdvPNCR/1M87WAgAjF0AB WLbRY+kje+YGz94h1+0uu12DlzgH6gAoMG9J2mzy57IePdfeVHuYJADAyAVQBFLfTl+jTPwWk8/3 yObLNF9SLWUA5JkzZnrJ3TcH882766tflZmTBQAYuQAw+NreSLfJ7XaT7pBpAW9fBCDHHHLX1mD2 YtZta93U2Ts3LbcsWQCAkQsAl9fsoa68O+nmt8l0u6Tb5JojaTRxAIwAl9Rm8q0yezEE37rr4ep9 ZAEARi4ADJllGz3WcbD75sgtZRbN98HLnG+XdD11AHxIGcl2uqIXJW3NZGxL158nj5EFABi5ADDi qte0zzCFW4LpVpnfKrdbJE2nDIDf4E1J2yV/Wa6tfbHY9j31lX1kAQBGLgDkpKq/7ZwYi9ktIUQf uTB6b5FUKd7DFyhGhyTbLnlLZNpe0h/bvmvV7KNkAQBGLgDktfmPby/pP1c2/d3LnWVW6/KUpKSk GIWAgtAjKe3yFpO1BLetu5uq9pIFAMDIBVBE4/fQmP7ekzWRQp3cU5LVyVUr083UAXLaUclek0Xb TdaiTGx761dmv0kWAAAjFwAuYfb67rISqTZkozqZaiVVa/CS5xnismdgJA9JTkieNnna3XYreNvA gO3mxlAAAEYuAAyBdy97zkgVIajCPUoFs1p3VUiayeMncMX6JL0uV4vM04pibcE8vbuxcp/MnDwA AEYuAIyw2eu7y0oz2SqPhSq5JyVVmbzKZbMllVEIkCSdMXmXu6VlnjYPrRll0x2N1W8wZgEAjFwA yBNz/seu8dne0VPc/MYQVCFXhUkVLlVIXi1pLJVQQPolvWWmvZF7m1lIR5H2xqW9u09W7lezRSQC ADByAaBQudu8NV1TMjHNNGmmu2ZKmin3mZLNkGmKpBJCIccclbRP0l6X9plrn+R7s/K9HSerDzBk AQCMXADAe47gmrXtNwSLTXPzKVK4yVxTXT41SDe5NFWDH6XEwhDJSDoi6Q1Jb5n0lmQHXNH+yKK9 Jf0D+3atmneWTAAARi4AYNjM/eae67PxaIrcpptlp7nCjTK/QbLJUjRJshsknyzZGGoVtbMXhuvb Lh2S7IjL3wrSW7LozWDxA8kbZh/etNyypAIAMHIBAHkwhneOjUaNuUGemRzJJsnDDSafLGmS7MIQ dl0nacKFjwTVcl6PTEflOibZMTcdM/ejZn5EbkeiSIcij95ORP0HOQMLAGDkAgAYxWHsBC/JTrBs NF6KTXD5BDMb7/IJck1QsAlynyBZueTlGryR1lhxV+kPIiupR9KJd781WY+7n5CsR8FPmLxHbifc /GjI+rGM+bExY84da1mxYIB8AAAwcgEAI2D2+u6ykuzA2OCJsSE2UB7JyszDWMnHulm5u4+TK2Fm 5YNPQNG17mYyjTNZ3OWjzVQqV8JlYyWPXTSeR1368mtP6MrvWN0v2SXOdvpJSZGkPsnOXfi5HjO5 S33mgz/n8h65XLIek5938/OuMPh9+Xn3cCIoOh8pdj4u74kSA+f6solze+orT/HVAgDA8PlXnnC0 /a/EmvkAAAAldEVYdGRhdGU6Y3JlYXRlADIwMTctMDgtMzBUMTM6NTQ6MDkrMDA6MDCIeuPdAAAA JXRFWHRkYXRlOm1vZGlmeQAyMDE3LTA4LTMwVDEzOjU0OjA5KzAwOjAw+SdbYQAAAABJRU5ErkJg gg== "
+ id="image1275"
+ x="220.0013"
+ y="21.449341"
+ style="stroke-width:10.7374" /><image
+ width="30.300915"
+ height="29.702868"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAATAAAAEqCAYAAACStgwOAAAOv3pUWHRSYXcgcHJvZmlsZSB0eXBl IGV4aWYAAHjarZprduM6DoT/cxWzBL4JLofPc2YHs/z5QNmOncTd6dvXTixbligSKFQVlJj1v/9u 8x8eMaVsYiqSa86WR6yx+sYbsdejnVdn43k9j3B/5173G0683nq24TpSH5Kvrbvvv51w3zo9Lz0N JOP2RX/9osZr6+XTQP42M52Rvp+3geptoOCvL9xtgHYty+Yq5XkJfV3b2/lXGPg1+hLlddpfPhei NxPXCd6v4ILl1Yd4TSDorzOh8abyyhcc6EI+eyyvKdxnQkC+i5N9mpX5nBX7Jiu7PWL0kpSQryMM O16DmR/bb/e79Gn/bUBzQvyMk/GAw8t+qe7Lcu6/e08xe69rdS1mQppvi7ov8bzjwE7Iwzkt8yz8 Jt6X86w8xYDeQcqnHbbzHK46T1q2i2665rZbZzvcYIrRL1/Yej9IlO6TUHz1I1hDnqI+3faF7M0g 5G+Q3sBe/5iLO9et53LDCReejiO9YzDHGd7oy7/xfDvQ3gp5504wr3pkXl6Lgmlo5vSVo0iI23cc pRPg+/PzQ/MayGA6YRYW2Gy/hujJ3bClOAon0YEDE9ur1lyZtwEIEddOTMYFMmCzC8llZ4v3xTni KOSnMZBobXRS4FLyk1n6GEImOeL12pxT3DnWJ3/thrNIRKKYCqmhvMhVhNjAT4kChloKCbJLOZUk qaaWQ4455ZxLVvJrJZRYUsmlFCm1NAkSJUmWImKkSqu+Bsgx1VxLlVpra1y0MXLj7MYBrXXfQ489 9dxLl157G8BnxJFGHmWIGXW06WeY8MTMs0yZdbblFlBacaWVV1my6mobqO2w404777Jl190eWXPm SuuX58+z5u5Z8ydTemB5ZI1TS7kP4ZROkuaMjPnoyHjRDABorzmz4mL0RlOnObPVUxXJM8ukyZlO M0YG43I+bffI3UfmXvJmYvyrvPl75oym7t/InNHUvcnc17x9k7WpFDxsMCdDWoYaVBsoPw5qXvhB k75sK8fDoclbCdMK81Xp40tzf/O32x8NVCus0lrZzLfEGdbZwQQru9jB3mHmXi5v6Y4DJfcEDMbi M6Gpw6fsepI9hoRA5EpfWQB9nr6P2LPvhVzM0UYzLUK6dQRfd/S+E9++9GrweRrEsqS8V85tZtlt ZQ3KXC3NVRkEePpWxx6tGAlrxFJYwe6BLG+/Nho9spthp+7crLnblHWa6E3xFAlZ7LP1CcprmlBS tcnETQLW1rqJycWkNuKfbM2fnDAI7ozUa2+ZSDw+MolmstiwfNPA3MKCZbkHhrys3feK3pWg36SZ +gb1lIaTXJRv+3RluGS2yyWlbWeIheqYvZHQbQvZqn2V6NbIfawmJ4rqZTaOpablU+enzZBX9SkZ H1aOxH10gp5ynxrnRu647EJHmX8hwzOMR4Ik7+wDR/ZdYPwV7KrbtCnSBmDxnZoeRewqEllIWjNa 2QnmqE/ZOckJEyp4LSHzpqb+eHsbKBN8YAYAidX++Ejg2QEpo1UU8xBsRNmrt142NKgTLHNv76zp 9VTNapM4AbFox0et4SZDhT6vzzuSlLhHqjOFEfJ2k7PAKxfbJshso9zTs5QcoKrOdKTmkfLqcXb4 LkVZidDhCoA73NspmsXJlE1oIHt7Lc/5Ujf3tFARMBy9AZl7pAepkjWg4vnIDrMU813dROrXbsoz ogGKa5D4u6356YEBKdmIzF6rtjLPR3YfXtJd1NqW1p7q4KMKWnlXOAjc50I0tzcMy1qhqefUlOfU jBl6Q/OId7M7h7QorV6WGxv4NDOXl75QGIoGDRmOA9XhIgWFMlG71su7AnuqL3MrsNqyMJXdZh8W mmOBzCr00VsV6T6noZKvJjD1zhsSP9cueXbI2rtqmJjTCAiRGb7buryHrFFHyDBDjAIcYusJAW1Q JZIMsKXF47txcABQYvJmIn/h90n7bVLN5zTeMntP9JekPpPbldZLKMyzUnyb17hizBQcdp/WNwft P0YNqYd7wrbmyzB8OQmrIxeKJQqnFFwAtpZusW9JBcmSNCma2mtMACFS2rs2uj9Ho9I95W3CIE8O 88bIzCxQj7mTl5mH5hVXAFEMyLClQp1idaLaz04HlDUQnRq1TQbIpnj3ZBiNGsYExOi6h/SCIGtM BhIrC2Z1RQ7pLKbV90U/EagWaMOUEHSRg5VsBhbWAlOkPe0Sane7ouwQR0eNwF6ecJ8vNe3mqAKi wjJ6mMnQKHoYCf9HSXSU1qXWUZbSi3p1y0E9tulQ/65crTaRkZOX3fmOipHasYDGYx64/sLZ+U23 thbJKj5c4LUdu3BsFSq25Bm6bZ5+yzdiVGghCK42jn0GLovXIDVbIw4feYkd3wjcLzXPLb+XYfN7 fVZBflFoPn7I8923mP1On1/U+Uxobik0l6WRkEYa2AGfp1wctsjcKaNVlR3SJiBP8Mx+jLjOrQbA CZUoaduZFnqzkoINq4RztVB4DOCIXBDLSCrrprbxu/P0tFLx1JYBmFMO0EihSg6KMskoqsIAMK1K bpuflaLVAOSp5p+G+wWfTzHLcb8F4xR8oNFd2/s598XLHg4NPe1Q0SjiEJZweWah2ChgV66xCzzV xSVSLTDnMpQgHjJ7dftrdlKCTeibDnGsBDhp+hUIQ2kXCU2pyYWUCLsBftzlAbcB3e0TuNkst7+C u8taKnc7uokhJtCECPIAnzQ1QZldi9SrUQadRSAEDzPPSf8BboAMpACBzNhCqfQaWhcaymN4BMZF zw2SGpWyuhqD8nMj89m/mJ8amIbrk+Vo0HsITm8uwEiBWOcKVYZpjuqQSToeHB4H+V3huobnRxyW atsu64KYEgivriUaKw8wcwPCFc9QxKSw18D95FI/0Jspo/Sgygu94L0UtzbxXfsZihcSzQcUU3Ib +3ox5bsG5y2Dmt9R6HsGvcbeDvdnLw/5dMElPZ66hgT9C73mMCgd7DlBH6x+7ASZ0CF1jy/DZ69F xwRnqf1Yc9ATD1vbJNiCeODtG7VfwRarZu30xThFlkdrlYljXBTDtNOTNRqwi15ds0Vmhl7nO3pd KVtKAPW78NcxJUQItjYNHNtSAk1wX46r2krTD425fJY74/hFa9k9DTBXhbMZjN7+RF8ZTzHJ1OGq EUmuE0dncnDRXaWddzR1tmiHseDQCrrW9nB8p+/PamjtiAqizpdFCbXsEjLeAioFh1QtlcrV3TEb on4Xp0MREKlN4pMzNJTQR86pemwY1Y0XCu8NjKMgGHCDxnWAwtwgGjdNw1IfjN0QBs09NHosWjBK iG7WgdPqChaBWOLbzrgQGjp4vTefLlpiak4t2g56iwT8W9LIVMcINbC+Q3Uu+a6aB3CV6jrVagKq SGc2hL4h8MSZdr1pMpsHk5Em3Wp1UaHwnteusHcKjGYgQq0BfK7GgsgadZkxUgBNE+3p3MSTUpCg CqDeiYZzJyH8LZKiPSxumQmGoJVFM8oc9zDxVjJRto8/AcwdLw+0bG2CtlnaZ81FAbUyILmr7bp2 6J19KIggLAKDBZy5qC9gXg6VmFvjjT67ydJYyuoealE7XSj+tQibo+Gi/LHlKaEG9mANa6MmD4Hq OAu9cKgrbAwhU4aPGh4TwbhXFVZhqDnJtFIWgEY6Y76PaFaSsbWMEcxUP7G4CbBnPNC6VBIcPVRy jXTQh+M4+OO7O/4ahDxAlHRHpFbDZ/u97KCJ2PEaHFIJc3zIRV1jjbY4bDmFlKBRRVFBtwHZDU9f SDEY6MsjTegcDYODSbE3pBD5jIIy6l+EuD4VXLA5qgQp2MIqo7YiUZO91FKKmQPPy8gFJh+CakSs chzgqvt1StSRwFBtVULaCwUqvklK1990kFdEEfiYhnxGX6cDRwPd3OoURBpoTlCqm7em+5e8xNa8 w9sTP12Ie0HYA3J3wOlA16Cdc6r6oo5+VBdoKejnODD6AsGiIG3o3UBLnPcIfQWc8syRZQTn8jZQ x5GIgN5AUAFnRObc4WblukaTT7IP2c0H2Tn6i4wkQT/KdTCd+aC6L/iLd/yl+itpvJTR/FNppEoO ijA6eu91mDuKdhHBSuh9waZ5RNiQE/KIEkLluZVIADSN1QmNkbpTOKbRiE8AonciOv0uPlB7Bp+P PeMQrGcDnxKP+1I04fPgsun9wACjsdg9ZxNscm7xLjgbZXMLjsUQqttKtSyM3dB71UAVpQRx1Sl1 UzHp3MRRbdeoKzfrjVAE1vzprcIJc5+u07KuF4GkpGnRrxb53LpY27WckF5YkB3Xx/dj6x1zbC7a j1uAeOneKiWjt3WrVWgpBKnQzcDnFlOqttuo6VW2KZSUVeTDNzW2NgwMGHzVu6Oi45fejlnihNP8 XGiA7C8qoYBuAkOHnakH6IjxZykGN6PTobC5OoTrAhYHosYzi1qajLFbR2RS/CQynSLKtHDLZ51R L9hTt7pmnnlhQiL+OS3CykUFU1mFlOexP2MCRHQ84pVI88hkmpSHoJlwv96VxFrqXzHQVG0fGtJ5 yTSu6ztjYH546+NJyVgUdYf2qtKoqBQVDDO1W0R4MD9be83r/se14/uRi65MnffEPwNwmnnXC7oG UrplNdSKFrkl8HQeE+evZI+pbAl/1Y8cD9CAnGG9jgEAkkS6DuBjwiNw9L+Q9xoYEqqNYNDcsiAX KjNGVUNqapykYUYo6pdCsda4v7qv/rE176vnz4rH6JuU/bHNypF4Ixoyep4cM2j2MNUIc9mOkUB2 wKqETJQ3oXZDL68EkKuBlCfl0fPwrkd6F0KHGNMQBb2LRNGopxjAHJLOOFIuB2WFsWeM5aF13bSm NXb+cQHjSzf2DwNl/pKGHoE0T2E7UXwO7KpBFexX8dF/KEhI/zT0KtpIW/TITz+A5UHeVOSJ9ROb 6uncafKBMBwlW++6qYWi4fQlRmSSbkpMhJjpWQIt9yPCWa09JrOhrOn8L8mwl1d43y+bf+UvB7eB YnH6PwwE8Za0Qn3QcVm4cKB1qmpJ6iqemvooqXlVym3K5jbnv/67n/ntgQj5rNTS/wEy4kxsAPkh XQAAAYVpQ0NQSUNDIHByb2ZpbGUAAHicfZE9SMNAHMVfW8UPWhzsUMQhQ3WyICpFcNEqFKFCqBVa dTC59AuaNCQpLo6Ca8HBj8Wqg4uzrg6ugiD4AeLm5qToIiX+Lym0iPHguB/v7j3u3gH+RoWpZtc4 oGqWkU4mhGxuVeh5RR8iCGEGcYmZ+pwopuA5vu7h4+tdjGd5n/tzhJS8yQCfQDzLdMMi3iCOb1o6 533iMCtJCvE58ZhBFyR+5Lrs8hvnosN+nhk2Mul54jCxUOxguYNZyVCJp4ijiqpRvj/rssJ5i7Na qbHWPfkLg3ltZZnrNIeRxCKWIEKAjBrKqMBCjFaNFBNp2k94+Iccv0gumVxlMHIsoAoVkuMH/4Pf 3ZqFyQk3KZgAul9s+2ME6NkFmnXb/j627eYJEHgGrrS2v9oApj9Jr7e16BEwsA1cXLc1eQ+43AEi T7pkSI4UoOkvFID3M/qmHDB4C/Svub219nH6AGSoq9QNcHAIjBYpe93j3b2dvf17ptXfD/nLct0c jlvtAAANGmlUWHRYTUw6Y29tLmFkb2JlLnhtcAAAAAAAPD94cGFja2V0IGJlZ2luPSLvu78iIGlk PSJXNU0wTXBDZWhpSHpyZVN6TlRjemtjOWQiPz4KPHg6eG1wbWV0YSB4bWxuczp4PSJhZG9iZTpu czptZXRhLyIgeDp4bXB0az0iWE1QIENvcmUgNC40LjAtRXhpdjIiPgogPHJkZjpSREYgeG1sbnM6 cmRmPSJodHRwOi8vd3d3LnczLm9yZy8xOTk5LzAyLzIyLXJkZi1zeW50YXgtbnMjIj4KICA8cmRm OkRlc2NyaXB0aW9uIHJkZjphYm91dD0iIgogICAgeG1sbnM6eG1wTU09Imh0dHA6Ly9ucy5hZG9i ZS5jb20veGFwLzEuMC9tbS8iCiAgICB4bWxuczpzdEV2dD0iaHR0cDovL25zLmFkb2JlLmNvbS94 YXAvMS4wL3NUeXBlL1Jlc291cmNlRXZlbnQjIgogICAgeG1sbnM6ZGM9Imh0dHA6Ly9wdXJsLm9y Zy9kYy9lbGVtZW50cy8xLjEvIgogICAgeG1sbnM6R0lNUD0iaHR0cDovL3d3dy5naW1wLm9yZy94 bXAvIgogICAgeG1sbnM6dGlmZj0iaHR0cDovL25zLmFkb2JlLmNvbS90aWZmLzEuMC8iCiAgICB4 bWxuczp4bXA9Imh0dHA6Ly9ucy5hZG9iZS5jb20veGFwLzEuMC8iCiAgIHhtcE1NOkRvY3VtZW50 SUQ9ImdpbXA6ZG9jaWQ6Z2ltcDozYmZlYzk3MS01ODI1LTRlMTEtODk3YS00ODBjOGUzY2ZlODci CiAgIHhtcE1NOkluc3RhbmNlSUQ9InhtcC5paWQ6Y2E4N2VhMzAtNTY2NC00ZDU4LThmZGItODZi NWI3YmJiMWI4IgogICB4bXBNTTpPcmlnaW5hbERvY3VtZW50SUQ9InhtcC5kaWQ6YWYxNmU4Mjct MDJjYS00YjQ3LTkxYzEtYmJiOGY4NmMyYTI4IgogICBkYzpGb3JtYXQ9ImltYWdlL3BuZyIKICAg R0lNUDpBUEk9IjIuMCIKICAgR0lNUDpQbGF0Zm9ybT0iTGludXgiCiAgIEdJTVA6VGltZVN0YW1w PSIxNjMyNDkyMDU0NzQwNTU2IgogICBHSU1QOlZlcnNpb249IjIuMTAuMjQiCiAgIHRpZmY6T3Jp ZW50YXRpb249IjEiCiAgIHhtcDpDcmVhdG9yVG9vbD0iR0lNUCAyLjEwIj4KICAgPHhtcE1NOkhp c3Rvcnk+CiAgICA8cmRmOlNlcT4KICAgICA8cmRmOmxpCiAgICAgIHN0RXZ0OmFjdGlvbj0ic2F2 ZWQiCiAgICAgIHN0RXZ0OmNoYW5nZWQ9Ii8iCiAgICAgIHN0RXZ0Omluc3RhbmNlSUQ9InhtcC5p aWQ6Y2MzMmRmN2ItYjQ2YS00NTM0LTlhYjgtMmRhOTRjOTYwY2NmIgogICAgICBzdEV2dDpzb2Z0 d2FyZUFnZW50PSJHaW1wIDIuMTAgKExpbnV4KSIKICAgICAgc3RFdnQ6d2hlbj0iMjAyMS0wOS0y NFQxNjowMDo1NCswMjowMCIvPgogICAgPC9yZGY6U2VxPgogICA8L3htcE1NOkhpc3Rvcnk+CiAg PC9yZGY6RGVzY3JpcHRpb24+CiA8L3JkZjpSREY+CjwveDp4bXBtZXRhPgogICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg IAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAKICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgIAogICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgCiAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAgICAg ICAgICAgICAgICAKICAgICAgICAgICAgICAgICAgICAgICAgICAgCjw/eHBhY2tldCBlbmQ9Inci Pz55r0WaAAAABmJLR0QAAAAAAAD5Q7t/AAAACXBIWXMAAAsTAAALEwEAmpwYAAAAB3RJTUUH5QkY DgA218SFAwAAIABJREFUeNrtndl3Glfert8qqjQgNFvzBAjZElAMshPHAo1MstP/57k5w+rO3N9a 5+ac7tjxEOc76+vEkkAzAqHBEZoioOpcFGC7MycequB9bnKRrJWlAh5efnvvdwuapmkghBATIvIR EEIoMEIIocAIIYQCI4RQYIQQQoERQggFRgghFBghhAIjhBAKjBBCKDBCCAVGCCEUGCGEUGCEEEKB EUIoMEIIocDeJMVika80IRSY+SiVSvjuu++Qy+VQKpX4ihNSQ0i1/gcWCgX8x3/8HW2tbbgzMwO7 3Q6r1cpXnhAKzPioqor19Q3867/+hWfPniEWjyMQCKCrqwsWi4XvAEIoMOP/jNza3MT+fhZbW1tY XFzE7NwcHA4Hmpub+S4ghAIzLgIATdOQP8nj8aPH2N3dRSqVQiweh8/nQ3d3N9MYIRSYwUUmCCgW i9jd2cXnn32Ozc0tLC0tIjw7yzRGCAVmDokBwMnJCZ48fozM3h6SqRQikQiCwSBnY4RQYOYQWaFQ wPb2No6Pj7GeWkckGsHc3BzGxsbQ3NxclR0hhAIzpMQ0TUM+n8d/fvMN9vezSK6tIRaLwx/wczZG CAVmDq6urrC9tY2jwyNsbm4iFothJhSC3W5nGiOEAjM+mqbh9PQUTx4/we7uLtaSSUSjUfj9fnR2 dkKS+LgIocAMTqlUwl56D59+/Am2NrewsLiAcDjMNEYIBWauNPbwq6+ws7ONVCqFaDQKn8+Hrq4u pjFCKDBzpLHdnV18/ulnVYnNzs5ypZIQCsw8aezk5ATffP0U6d20vuUiFoHiVdDd3c00RggFZnwK hQLSu7v4+KOPkEwlEedKJSEUmNk4OzvDk0ePkc1kkEylsLi4iEAgwH1jhFBg5kBVVWxvbeOTo4+x sb6OxaUIQqGZ6plKpjFCKDDDk8/n8ejhI+yl97C2uopoLFrtG+NsjBAKzNAIgoBSqYTNzU0cHR9h a0tvuAiFw3A4HGx/JYQCM4fITvOnePL4EXZ3d5B8ad/YtWvXOBsjhAIzPoVCEdtb2/js+afYWN+o 9o05nU40NTVxNkYIBWbsJCYIAvL5fLVvLLW+jsjSEvyBANMYIRSYOURWLJawvb2N558+x3pqHUtL S5hfmOcufkIoMDNITP/nyckJnj79Gvv7+0imkojFYvD7/UxjhFBgJkljhSK2t7ZweHiA9dQ6YvEY ZmdneU8lIRSYOdA0Daf5U/znN98gm81ibXUVsVgcgSB38RNCgZkljRWLSO/u4vPPv8DW1jYWlxYx +9LNSJyNEUKBGT6NnZ2e4unXXyO9u4u1tTXE43EEg0G2vxJCgZmDQqGAdDqNLz7/AlvleyrnFxYw NjaGpqYmiKLIh0QIBWaSNJZOY319HbFYDEr51nCmMUIoMMNTmY19/PEnSJUlFg6HMTY2BqvVytkY IRSY8bk4P8eTR4+RSe8hlUphaWkJfr+faYwQCsw8Pyt3dnbwyUcfY319HZFIhGmMEArMPAiCgLOz Mzx++AiZvT0k15KIRCPsGyOEAjMPqqpiZ3sHnx1/io3NDUSWlhAq31PJNEYoMGKKn5T5kzweP3yE 3e2dV24N52yMUGDEFD8pVVXF7u4uPvvkU2xtbWFxYQGhcBhOp5NnKgkFRswhstPTUzx++Ah7u2mk UutYXFpEMBhkwwWhwIg5JFYqlbC1tYXn33+P9VQKi5ElzM3N8UwlocCIeUR28v33ePr0KfZz+0gl k4hEoghOM40RCoyYRGLFot7Ff3R0hI2NTUQ2I9WGC87GCAVGDE9lpfKbp0+RyexhbW0NsVgMwWCQ fWOEAiPmSGOFQgG7O7v4++dfYHtzC0vRCOZm9dlYU3MTHxKhwIixJQYAp6en+Prrr7GXySCVSlW7 +Nk3RigwYgr0NLaDLz77HJsbG4hEo5idncXY6CiauFJJKDBi9DSmaZp+M9LXT5FOp5FMJhGPx6Eo CtMYocCIObi6usLuzi4++ehjpJJJJJaXEQqFqu2vTGOEAiOGRtM0nJ2d4cnjJ8hkskgmk4hEIvD5 fExjhAIj5kBVVezu7OCjv/6t2jc2G57FyOgId/ETCoyYJ409fPAV9tJp/WakWByKT0FXZxcsEveN EQqMmCCNbW9t4/jouLpSGQ6FMTo2yjRGKDBijjSWz+fx5PET7O7uIlnuG1MUhbv4CQVGzEGhUMDO 9g4+/ttH2NjYwNLS0itd/IRQYMTwnJ6e4uGDB0jv7v7oZiSmMUKBEUOjt79q2NrcwvPj59jc2MTC 4gJmZ2dht9vR3NzMh0QoMGJ8keXzeTx6+BDpdBqpVArRSAS+QADdXV1MY4QCI8anUChga3MTz4/1 lcqlSAShUKh6MxIhFBgxdBIDgJOTEzx+9Fg/U1m+p5KzMUKBEVOlse3NbRwffYLNzU0sLi0iHA5X u/gJocCI4dOYvm/sMdK7u9U0Nj09jc7OTqYxQoER44vs6uoK29vbOD4+xubmJtbX1zE/P8+GC0KB EXOlsa+fPMHuzk61b8zv96OLK5WEAiNmEFmhUEA6ncbfv/gC66kU4okEwuEw7HY70xihwIjx0TQN J9+f4Jun3yCzl6n2jQUCAaYxQoERc1AsFpFOp/X211QK0XIXv33MjsamRoiiyIdEKDBi7DR2dnaG b75+imwmg1QyhWgsypuRCAVGzEPlnsrPPvsM6+vriMWiCIXDGB0dhdVq5WyMUGDE2KiqivzJCb5+ 8gR7e3vY2dnBXz78EO7rE7DaWgGmMfIH4CCCvPWflWqphMsffsDV2SmKq/8PxfVvoZ2fAprGB0SY wIjxpCUIAmytNkxcv454LI75xQWMXOuC+L//G37I7UL2LcByIwix8xoEpjFCgRGjyEuSJAwMDOD9 D24jHo8jGAyiu7sbwg+XuLz8HqXvPoO6/1+Q9pYh+echDTshNFsBzsYIBUbepbxa21oxOTmFpcgS 5ufn4XA40NTUpP97ABBEoHQELbONQn4PanYNaiAB6XoAYlcP0xihwMjbF5coihgeHsYHM3cQj8fh 8/lw7dq1n9n/JQBCAThPovTdAdSDNaiZZUi+eUjDDgjNLUxjhAIjb0detlYbpianEInpm1d/W82O AEDV01j2SxTyO1Czq1B9CUg3grB09wLcxU8oMPKmxFWZdd0JzSAWi8Hn8/2BokMBwBVwlkTp2yOo B+tQM3FIvllYRsYhWm182IQCI68PVVXR3tGOyRuTiMVjCJUPcP/xckNB91jpGFrmHyjkt6FmnkHy JyC5b0Hs7IHANEYoMPJnU5coihiz23Fn5g4ikQiCweBrLDQsp7HTNZRWjqEebULdS0IKLMIy4oLY bNX/E0KBEfJ75dXS0gKv4kU0Fqte8PH6K6XLaaxYno2dbEDNrkIK3oM0OQ1L5zXu4qfACPntiKKI /v5+zM7PIRKJvL3iQu0SON9AafU51KN1lNIJyIFFWEadehpjHKPACPklmpub4fF6EU/EES4fyG5u bn5LB7LL/4/ic2jZL1HM70DbT0IKJCBNBmHp6gEsfEtTYIT8GxaLBX39fQiFw0gkElAU5R0XFBb0 NLbyv6AerqGUTqAhuATLiANCE3fxU2CElLG2WOH2eBCLxTA3N4fR0VEDVEQLADQ9je1/iVI+jR8y a5Cm45CmbsHS0Q1IMl88CozUdeoa6Ec4HEY0GkUgEEBHR4fxigi1IrTzFEqrB3oa27uLhuAiLEP2 8plKlq5QYKRuEAQBzVYrpqamkFh+cTFHY2OjgcsHNaD0PbSDRyg+yEDLrEKaXtZXKpnGKDBSJ28I SUJvXx9mQjNIJBLV+mfTXMahXepnKp+loR6mUMrehazMwjLshMgzlRQYqd3U1dLSghuTNxCLxzE/ P2+QWdcfTGPqObTc/0XxH9vQ0quQgnHIk9MQ2rshyExjFBipCTRNQ0NDA3p7e3Fn5g5i8Timp6fR 0dFh/ivQNBW42EDp2X+HephEKR2DHFiAZXi8vIufaYwCI6amta0VbrcHi4sLWFhcxNjYmMFnXX8g jZVOoGX/D4onO9CySUjTCUgTQYhd1yBwNkaBEfOlLkmS0D84gFAoVD3D2NXVVcP3NarARQqlZ8dQ D1ZRSt+F7F8oz8aYxigwYgpxCYIAm82GKbcb0VgUc3NzsNvt1ZbUGn8CQOkQ2v4/UfwyDS27Bskf h3QjCLG7FwJ38VNgxMCpS5YwMDCIDz74AInlRLWvq75uyS4XJ56nUPr2EOpBEmomAck3D8sIVyop MGI4cQFAa2sr3B43liKROktdv+SxY2jZf6CQT0PNrOppbOqm3sXPNEaBEQOkLknC0NAQPrjzAaKx GPyBALrMtK/rjSICKAJnayg9y0E9TJXbX+dhGR1nGqPAyLuUl63VBq9XQSQSQSj8pvq6aiCKCQCK x9WGCzWzAil4F9KNad6MRIGRty0ui8WCwaEhhMMhLEUiCAT86OzsYur6RY+JAK6gnaVQWnkO9WgD 6l4Mkn8B0qhLvxmJUGDkzWJrtVWbIyp9XVarlQ/m96Sx0hG07H0U8hv6PZX+ZUiTXKmkwMgbw2Kx YGBwADMzISQScXi83j9wIxB5wQ/A2Va5bywFNR2HFCynMfaNUWDk9WG1WuFVvIhEowbq66qRNFb8 Htr+A302ll3Vbw13vwex8xpnYxQY+TOIooih4WHcKd9+/e5bUmtUZNoVtPMNlNYOoB6loGZSkIJL vDWcAiN/6CMlCGi2NsPr9SIeT2AmNGOCvi6zowHFPLTcVyh8tYNS+juot/4CaXJav6eSaYwCI79O pZt+dm6uevu1IVtSa9ZjV8D5JtTkAa6ON6Du3YPkn4M0Ms40RoGRX0pd1hYrJicnce/DDzEzM8NZ 17tMY6VTaAdfofBgF6W9FajTy5Anb0LgbIwCI//2IkkS+vv78cHMHSwvL8Pv99dGX5fpPVbQ09jq /0ThaANqOgopsAhpxMkufgqMVFpSp9xTiMZimJ+fx8jICFOX4dJYHmrun1AfbKKUXYM6vazv4u9k 3xgFVo8fifIZxoGBAYTCYURj+o1AnZ2dddYcYbI0drEOdeUQVwdJqOllSIEFSMNOzsYosPoR14u+ rinE4wnMzs1ibGysvpsjzJOZgVIeWu4+Cvd3UcomoQbjkK6Xbw1nGqPAallesiyjf2AAM+VuetPd CETKFIGLJNTvcrg6TELdS0D2zcMy7IBgtTGNUWC1l7ra2tswOTlVbUll6jI7IqDmoWX/iUJ+F6XM KmR/DNLkTYhdvVyppMBqQ16SLGFoeBi3b99GLBpDcDrIWVct/aREEThbhfrdAa4O16FmYuX213GI Vs7GKDATy6u1rQ0ejxuRaBShUAgOh4OpqyY9JgLqc2j791HIp1HKrEAOLJfTGFcqKTCTictisWBo aAihcBhLkSUEAoEavxGI6Gcq9VvD1Wf7uDpar/aNWUZcEFtsfEQUmPHlZbPZ4FUURKIRzM7OYnR0 lC2pdfWTUtXTWPY+CidbKO0nIfsSet/YtT72jVFgBk1dkgWDg4MIh2cRjUXh8/m4wljXIrsCzjeg Pvsrrg5TKO1FIfsXIdkn2DdGgRlLXq2trXpfVySC2bk5jIyMMHVRYuX212No2fso5behZZNQ/TG9 b4ztrxTYO3+LigJGR0YxEwohFovB6/Wyr4v8ZBrT+8aeQz1cRWkvATkYKXfxM41RYO8Aq9UKf8CP SDRa7aZnXxf5eYlBvxnp4AmKj/b1NBZIQHLf4r4xCuztod8INIjw7Gw1dXHWRX6zyLQfgPN1lNay UI9WUcp+CNm/wHsqKbA3j81mg8frQTyRQCgUYnME+YOo5b6xhyg+SEMt7xuT3bcgdl7jmUoK7DU/ xHJfVygcQmJ5GYqisK+L/Hm0EnCxDXX1r7g6WkdpLw45WN43xjRGgf3pwF9ujvB4PYhGo5idm8Pw 8DBTF3mdFgNKJ9BylVvDVyHfvPeib0yu7zRGgf2Z1DXQj7m5OURjMaYu8hbS2CbU5Pf6vrF0AnJg CZZhJ8RmK1CnpzgosD+QulpsNrg9biwnEgiHwxgZHUVDQwNTF3nzaax4DO3wSxTv70DLpiAFE5Cu B/R9Y3U4G6PAfgeyLKO/vx8zoRncu3cPbrcbnTzDSN66x1Tgcgullf8BNbeK0t6yvlI57IRYZ31j FNhvTF22Vhvcbjfi8TjC5TOMjY2NfDjk3aWxUh7awZco3k9Dy6xBCsQgTd6CpasXqJN9YxTYL71F NA0NDQ0YHh7G7Q9uI5FIQPH50N7ezlkXMQgqcLGO0rMDqAfl9lf/AsSR+khjFNjPiAsC0N7RDrfH g1gshlAoBLvdjoaGBj4gYkCPnUDL/VPfN7b3HaTpe5Bu3ITY3VPTszEK7CfkJUkShkeGEZ6dRSSy BJ9Pv4eRsy5i4EEHABXaRQql1UOox9soZaKQlfIu/hpNYxTYK6lLQFtbGzxeLxKJBO7M3MHY2Bhn XcRkaex7aPv3UcxvQsusQPLfhTQ5XZMrlRRYWV5yg4yh4WGEQiFEo1EoisJuemJifgDON1B6dgj1 aAOlvRhk/wKksYmauqeyrgVWuRGovaMdiqIgEtGbI0ZGRtDYxNRFTP6TUoA+G8veRzG/C21/Daov DmnqFsTuvppouKhbgVVmXaOjowiFw4hEIvAqXqYuUmOIAArA2TpKK4dQD5PlM5WRmmi4qEuBadBb UgOBAJaiEYRmZjDKWRep9TRWeg5t/yGKZ1lo2TVIgWXT943VncBEUcTQ8BDmFxYQjUTh8XqYukgd pbErPY2tZaEeJVHK3IUcWCjfU2m+lcq6EliLzQZFUXD33l3cvn0bo6OjvIeR1F8ag6rv4s89QvHh HtTMCqRAArL7PdOlsboQmMViwejoKGbCIcRjMXi8Xu6mJxQZCsD5JtS1AxSPN6DuJfU0NnYdYpM5 Gi5qXmCiKGLMbsetW+9hJjSDwcFB9nURUkU/U6nmvoKa34a6twp5+i5kz3sQOnsMn8ZqXmCSJCEc DmN8fJx9XYT8XBrTroCLLajrf0Mhn4F2fgL5gwQsXT3GDij18PIUCgWcnJzg6upK33FPCPmxxAQJ aOqH0O+G2G+H0Gj8+XDNJ7BSqYRvv/0W//rXvxAIBOByudDW1sYkRsjLOUbugNgdhCXwF8i+GVgG KDDDcHl5iZ2dHRwfH2Nvbw9erxeDg4O8p5EQoRGCzQ7Rvgj51jIklwKxvRMwya3gdbONolQqIZfL 4eTkBNlsFn6/Hy6Xi6uRpA7RAFgAuRNizzQs3gTkwDwsA6N66jLRl3pd7QPTNA2Xl5dYW1vD0dER MpkMFEVBf38/VyZJnXwIVEBsgmBzQnQsQA4mIN0IQGzrAEz4RV6XR4kqaez09BT7+/vw+XxcpSR1 kLpEPXX1vQeL5y5k/ywsQ2MQGppMex6yrtsoLi4usLKygsPDQ+zv78PtdmNgYIBpjNTaTw991tVq h+hc0lPXdb8+6xLN/YVd931gqqpif38fZ2dn1Z+ULpeLaYzUSOqyAA1dEHsDsHjLqWtwDEJjc038 hSw0hH7r0NnZGVZWVnB8fIz9/X14vV4MDAxwpZKYOHU1QWh1QnQuQA7G9PsjayB1UWA/IzFVVZHN ZpHP55HL5eD1ejExMcF9Y8RcqUsTgIYeiH3TsLgTL2ZdNZK6KLBf4fz8HM+ePcPR0RGy2Ww1jbG5 ghj/J2MjhLZxiK5FyP4YJJcPYmdXTaUuCuw3UCqVkMlkcHJygv39fSiKgomJCbS3t7M7jBj009wN sf99WLxxyEpYn3U1Ndf2n8xX/dfT2MrKSjWNeTweDA0NoaGhgbMxYhAaILQ4IF6PQA7EIbkUCO1d EOpg7EGB/cY0VpmNVX5STk5Ooq2tjWmMvONP8DWIfTch+ZYhKWFY+kcgNFvr58/nO+D3pbHKLv5s Ngufz4fBwUGmMfL2ERog2CYgOucgTy9DcnlNdYaRAntHFIvFV3bx+/1+rlSSt/yp7YbQ/x4kZRmy LwxL3wiEpqaavHmbAnsDaJr2ShrLZDLw+XwYGBhgGiNvNnW1jEOciEAKRiGPKxDbu0x5hpECMwCl UgkHBwd49OjRKw0XHR0dnI2R12kuQO6C0HsLku8eZGXGlM0RFJjB09jx8TGy2Wy14YK7+MmfFpfQ AME6BtEVhXxzGdJ4ZdbFcQUF9prTWC6XQz6fRyaTYfsreQ2pqxtCzzQkX/kMY/8IUxcF9mbT2MXF xSsrlV6vF319fWy4IL/DXY0QbA6IjkXINxOQJvym7euiwEyaxg4ODnD//v3qbMzpdLL9lfwKeje9 0DsNyfshZH95N31DI1MXBfb209jl5SVWVlZwcHCAbDaLqakpdvGTn3q3AEKz3k3vXCzv61I466LA jJHGcrkc7t+/X91u4XQ62TdG8Eo3fW8QFuVDfV/X4JgpbgSiwOqIi4sLPHv2DIeHh8hkMvB4PExj TF0vpa4EpAlfzfV1UWA1RKX99fT0tDobq3Txc99YPaUuEZB7IPYFYfEsv2hJbWgC+F1GgRmd8/Nz rK6uVnfxV9IY+8Zq/isMQBOENpeeugLRcjd9F8AvMArMTJRKJezv7yOfz1crrF0uFzo7O5nGajF1 aSIg90IcuKl303tnYBmyc9ZFgZk/jf17+yvTWK2lruZyS+oS5EBMX2Hs4KyLAqsBfqqL3+Px4Pr1 65yNmT51CeXUdQuSsgzJG9LPMNZ4SyoFVsdpbHV1FYeHh9UzlUNDQ2hsbOTDMVvqEqxA6zgsrkX9 RiCXArGN+7oosBqnWCxWVyort4Zfv36dXfzmydSA1Atx4H1ISvyl1NUELjFSYHWVxpLJZHWlUlEU zsaM/pMRDUCrC5aJqL7CyNRFgdV7Gsvlcjg7O6umsYmJCc7GDJm6uiD2vQdL4J6+wtjPvi4KjFTT 2E+1v3IXvxHc1QDYXLC4liBPx/W+rraOuuump8DIL/JT7a/Xr19n39g7QyyfYXwPFl95N33/cHk3 Pb9UKDDyIyp9Y6lUCsfHx8hkMvD7/Wx/fevuaoTQ4oToXCr3dSkQW9nXRYGR30SxWHwljQWDQYyP j6OtrQ2SxJf0zaauFoi9YVh8Cb2vq48tqRQY+VNp7OVbwzkbe0MIjRBsYxBdcX1fVzV18SNEgZE/ lcYODw9f6RvjPZWv7WtC/4jIHRB7bsLi/xCyP/Sim577uigw8nrS2OXl5Su7+Nk39jpSVxOE1gmI jjnIN+9CmiivMPIMIwVGXj+V9tevvvoK2WwWPp8PLpeLXfy/O3VZgIZuiD3TsCjLkANzL6UuQoGR N8rFxcWP0tjAwABvRvr1KAuIzRBanRDHFyEH4uWWVKYuCoy88zRWaX9lGvuJ1KWJQEMPxP5bsHgT kJUQW1IpMPKuOT8/x8rKSnWl0u12Y2hoiGcqX05dQhOEdme5ryvx4kYgHteiwMi7pdI39nL7a6Vv rL5nYy+lrr6bel+XL6w3R3DWRYER46axymzM6/VieHi4DvvGyjcCtbkgjs9DDlZSF2ddFBgxdBqr dPFX+sYURamjNKYBKLek9r8HSUlAUkJMXRQYMWMaqzRcVNJYpf21NlcqtRf7uiYWIfvLfV28EYgC I+akslJ5fn7+yr6xrq6u2usbq6Qu3zIkz8xLLamEAiOm5uzsDMlkstpwoSgKhoeH0dDQYP40JjRA aBmHeCOm3wjk9OgrjNxKQoGR2kpjBwcHODs7q6axyclJc3fxy30Qe4OQgh9C8s7A0jfM5ggKjNQq mqbh/Pwc6+vr1TQWCATM13AhNEKwuSBORMotqR6Ire1sjqDASD1Qabh48uQJ9vf3EQgEMDExYYKV SgGQuyH234bkK68wsiWVAiP1mcZebn/d29urdvEb8kyl0KjPuq7HIE9H9W56tqRSYKS+ebmLP5fL VVcqjXOmUtT7uvre1/u6lBm9OaKhkamLAiNE5/Ly8pWbkSr3VL7T2ZjYBKHFAdEVgTydgORiXxcF RsgvpLFcLofT01Nks1kEAoF31DcmAg1dEHtvwaLc1bvpmbooMEJ+CxcXF9Vbwyu7+Pv7+9/ObExs gmAb128Emo7pfV1t7UxdFBghvy+NHR4e4sGDB2+hb6zckip3Qey/CYv3HmRfGJbBUQgNDWBhFwVG yO/XSrmL/9mzZzg8PEQmk4HX633NK5UaIFghtDogji9BDsb1G4Ha2NdFKDDymkSWy+WqfWNerxcT ExN/Mo1VUld3uSV1+cWsi80RhAIjr5vLy8tq+2tlpfKPNVxogNACodUBy/gipGC5m76tg6mLUGDk zfFy+2sul4OiKL8jjVVaUnshDrwPyRuDpIRhGWDqIhQYeYtcXFy80jfm8XgwNDSE5ubmn3GXqrek dtyAxbUIyR/lrItQYOTd8fK+sZdnY52dnS/SmFZuSZV6IQ7dfrWvi6mLUGDkXfNT7a/DIyNo0DRA ECC03YBl/H1IgXJzRHsn93URCowYA0EQUCwWkcvlcHZ2pqcxRcH1kSFYrzkgz45B8twurzA2gvu6 CAVGDCcxQG9/TaVS+OHqCpKmYurGe2jq6NJXGNkcQSgwYug3nCTh2rVrGHc6MTAyiua+PoiyzDOM hAIjxk5gzc3NcDgc8Pv9cDgcaGtrq+OLdQkFRkyTurq7u+H1ejE1NYX+/v4avr6NUGCkZlKX1WrF 6OgoAoEAxsfH0draytRFKDBibGRZRldXF9xuNxRFQW9vL1MXocCI8VNXS0sLRkdHq8eImLoIBUZM lboqJYc1cTkuocBIbacuq9UKu90ORVHgdDrR0dFh3gtxCQVG6id1dXd3Y2pqCh6PBwMDA0xdhAIj xk9dlVmX3+/nCiOhwIjx0TQNsiyjp6cHk5OTTF2EAiPmEJcgCGhra8Po6Gi1GqetrY2zLkKBEXOk rqmpKbjdbgwMDKCxsZEPh1BgxNi0trbCbrfD6/VifHwc7e3tTF2EAiMGf3NI0iupq3J5LSEUGDGk qwFkAAADbElEQVQ0LS0tcDgc1X1dbI4gFBgxRerq7e2trjBWmiMIocCIYan0dY2Pj8Pr9TJ1EQqM mAOLxYLe3l643W5MTU1xhZFQYMQ8qcvpdMLn88HhcKC1tRWSxLcFocCIkV/4cje9x+OBx+NhXxeh wIg5UldLSwvGxsbg9/vhdDp5hpFQYMQ8qavS18XURSgwYorUZbVaX9nXxd30hAIjpkldU1NTUBQF fX19bI4gFBgxR+qqzLrY10UoMGIKZFnGtWvXMDk5yW56QoER86Qum832oxuBOOsiFBgx9gv50hlG 9nURCoyYJnW1tLS8ciMQVxgJBUZMkboqfV1erxd9fX1MXYQCI8bHZrPB4XBUmyOYuggFRgxNpZue fV2EUGCmTF1OpxOKosDhcDB1EQqMj8D4WCwW9PX1Vfu6mLoIocAMz8tnGP1+P+x2O1tSCaHATPDC lFcYvV4vJicnuZueEArMHKmrsq/L5/Oxr4sQCsxcqcvj8cDtdrM5ghAKzBypy2q1coWREArMXMiy jO7u7mo3PVMXIRSY4RFFEc3NzbDb7QgEAtUbgTjrIoQCM3zqqvR1KYqC/v5+yLLM1EUIBWbs1FVp SfX5fHC5XLDZbExdhFBgBn/Q5b6uqampal8XUxchFJihqbSkjo2NQVEUuFwutqQSQoGZI3X19PTA 7XZXVxh5hpEQCsxUqWt8fBxtbW1MXYRQYMZF0zQ0NDSgp6fnRzcCEUIoMEPT2toKp9MJj8fD1EUI BWaO1CXLMvr6+qo3ArGvixAKzBRUWlJ9Ph930xNCgZnkwZX3dXk8HkxNTXGFkRAKzPhUVhj/va+L sy5CKDCmLkIIBfa6U1dLSwvGx8fh9XrhcDi4wkgIBWaO1FXppne73ejt7WVfFyEUmPFTV6UlNRAI YGxsjKmLEArM+FT6uiotqUxdhFBghqfS1/VySyr7ugihwEyRuirNEbwRiBAKzBRUVhgdDgf3dRFC gZkrdb0866qkLkIIBWbo1FXp6/L5fBgfH2fqIoQCM0fqqvR1KYrC1EUIBWae1OVwOOD1euF0Ormv ixAKzBypa3BwEDdu3KjeCMTURQgFZnhEUYTL5UJ3dzfsdjtTFyG19MtK0zStlv9ATdPw/PlztLS0 MHURQoERQohBfmHxERBCKDBCCKHACCHkt/H/AfqncoocqeEuAAAAAElFTkSuQmCC "
+ id="image1287"
+ x="175.31808"
+ y="19.214237"
+ style="stroke-width:3.53931" /><image
+ width="29.202602"
+ height="29.202602"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAASwAAAEsCAYAAAB5fY51AAAABHNCSVQICAgIfAhkiAAAIABJREFU eJzsvXm8bVlV3/sdc+19zrld9RRNFU0VAtJpQSGCFIIQReywAY0YMQYxxqjP2CR+Pmp4icSoz8TE vDTPJjFPNIlG8vLBgPJe9KFBpLOCPJBCoKqgqqj29qfZzZrj/THGnGuutdc+Z597z7m7oPaAXffs teeaa67ZjDnGbzQTVrSiFa1oRSta0YpWtKIVrWhFK1rRila0ohWtaEUrWtGKVrSiFa1oRSta0YpW tKIVrWhFK1rRila0ohWtaEUrWtGKVrSiFa1oRSta0YpWtKIVrWhFK1rRila0ohWtaEUrWtGKVrSi Fa1oRSta0YpWtKIVrWhFK1rRila0ohWtaEUrWtGKVrSiFa1oRSta0YpWtKIVrWhFK1rRila0ohWt aEUrWtGKVrSiFa1oRSta0YpWtKIVrWhFK1rRila0ohWtaEUrWtGKDpRk2Q24ADrGr+tNCM9E+ALu +/iN7Gwepx6tE0FCQCTkwgpoXWOvqq2KJEirLECsayQAIghFPRrRqBAAqQDyr6o1Gvvrm08R9eak e9IzuvWof9JgzRu09HYqVkL8ASp+l4KgrTKXjFLjpGlX0RrQiFD0Be13ViR/Sfcf1BsUTZtDzVip NGXL+dFXgxbzTVrzLwJV5+nNt/Te4vWp+PujRWO733d7MYEwHLFx9DyPuuETCB9C+P94rXwQ2Jz7 2g9D+uxhWG/Wm1DewN0f+jrO3PtYwqAiCAXbANQnfGfixFhcKidR8IEvy9bGrERa9agqNtGa6+L3 qkZQ9fsWZVizZPV4vd125TYvUI/3iXifaG6zFiziwtt5sSREb0PZx4kJafGtfF/x8s39y6Bdx0E7 fSqpneKcTkG0XVZm36V5RjCeVHk/qfo8A9tVFaKXDp1nz5QtalaFejrlisd8hsc+7a0Iv8Jr5da9 3v3hQJ8NDOsJ/PLZX+Lj734Fg/VifvtAp/FO4y8hMxIvSEyD2pWwZspCjLX9FiratagxvuKZYWC7 ZHSGJRfJsGLBsGba5V+D9tzYrcfbIGoMSpEsUQXvt3gR7bxYEpemynYlBh28/9P7CrbG+95hGZTb pX2Lp9unBcPKpTsTtsN8lUaKC87UYiie1Ijl/d9ble3yWyIJMB3BDTf/d15/2XcCn55fePn08GZY /0F/ng++44cZrNHsDsXv3dYvsJh7SYTgCzgxrN3L7/95EgKCZOa2W5lExiRtZYR9MZiumhFmVMCg zhh6pMyLJpc2Z+rNu/7ei8uYmhKlasrHNpdIZSDYKpdCCs4STIIDpLeMCqhErM8qr9c+MTDDGPJv 1T77LL17ryQEhCUvRRGYjuEZL/0FXis/CiywEC49PTwZ1r/Va3ngw2/n7P3PvSTPc4aluEp4KI8w ae6CGBYQuhN9H9SSZJwaSaut+h4o9TGsBSm1T6Vgtp37G0mrUXkbZt2VYLpl7LuiqLTvSdKTAtph JBJNMY37YDAirujGuHufXGpccYb8rU9ccytXP+2VfKfct+QGzdCye2iW/o0+jvve+yeMt56IFHr7 PHFmziA36pkSXZUTEcOJel97/2JTYiKq6hjXXlQAr4lJqnqbelRUVWKWPPyeFvTeXaRGF82E5i2c 7uJadLFJ8UfcQ53rqPN7v4f2D9kcJmc/yYwM2mlo8dMCatUitFc9u/0uAy9Tc+FqxBwqbQHpOwLr Rz/F9Te9kG+Xew72gRdHywMy+uhX9dHc+553M958IhrNcrSXZCH9n7SMtSijWVXpu6cAROfVT/tv dSBYu+XmftoqjXbrE5eq0v/KZwZTsRKMnvi49vzPCunun9yIzve9FmYf09qNWYtJjmZ17TxzXpvK m/ekgjH11dP3nJl3nMPgm04+GNqVqS+wYfbiZhfZnhCcaWkzVkFguvME7vrgn/JOffRBPvJiabDs BhQUuO/P38Zk6wkZLwC0nu5+V1SXnPqYjWMTqT4Rw5H6dvkE6LpqmJ9Pkp6EkBhGUkXyv/uZ0VZP +V0wLEX6pmPCrhyMT/iLqSqC7Tk6557dJn+zo0shKe7e9B5caq8NRclqrfYxi3mSULcrdmuaGylm 6leFMPQvCafydvQx6dwnlfdhZMaKJ1VP47yBjgvOlNFUj/hvRdlcb2jGLb+wl1HH4USNmew6rmWd 84ulZ4oGa1NQ39TTMwOMR4/nA3e8XeF50rUOLIkePhLWm/XnOf/gc8vBWEjNmlvGVK2F6igls0IC U2lLTypiUk+W4lyiWUi6KuvpSFOd+gpZiUKcQ6M2Ehapjq4A0Zml86QZZz5da+Tu/SRZ0tsX9TGI LvOb9z1/9vdIq6On3v22IciCgPh+Grhg2dwexZgnPf0yp58WKCvdZ5XkYybn7nuO/Lr+3D5e7lDp QCXMi6An8Hd/704Gw4PDDEpy1SW4ahIdONVi8UoQN+K0fVbMJcIlLC+zOxNspLm+YiFLRt020n+9 NQO9LQrxIkD4Vu3uBKsacf+B3Qrnvuy+nEhoLiUVI/dFaA2rUjDN9F7pe1lQpBB+tLASJimlA6hr LAROaUssmiSskimlf7Xz3esTTDJSIJbSE8zu9QokbaDq/FY8O9/XNe4k/0Frt02H5EbjUn4wDSFv kgVl9uNlJW0s3fGUpCEo2bpavkP2E/N7Q4DpBH7qlscDd7FkengwrF869fvccetX0PWvuZCdvO8e 3yFFqpnymnT3jtuAat2/eKWnnnyPLyLZnz+WrS+lAVf71NsLGKqQmIH01wmIDLzuulB19/8oyaqX k0brQxF7RvmTTn2sApnDdFWkXHHfBe9/LRhEVpdju0xiIvmduqrXIlS0L6tkXdWwUOEuhiQgMwyv 0xpNzytu28f80DiduX9+e1w9vvGLfo9vD69c+CGHRMtnWG/Wm/jz37+1JV0lulgpK92f8an2zppU K9vX2s9quR8U7TBJu3/CJ4vffh1IY5o8YT8Ma4G+Ecg7+5z5KWHgBU3CypLMPqmpJ+FEsWDeaQF6 v++DYUlLBTXmq8nHKjGshBmJIhJNLfYyEgz/0eiS0r79zpKI588K+PgMoMOwJMyzQO9CWkpL4hLP 7nOnT8LvZ1g94hXzGFZXyizwPAJMd+BZX3oTf1U+uGvjDpkeDqD7GzKz8k4XF/33tWw6A9ZlGkrp xd6I30mD6BWmksd4Ufe8sqkNQardy/RRYhBJQ9rLRUAEoZrFq2YomcElqzL9VSem5qrIIu70c0ip nVFVLlkpqhOgkbREqs66lka6nCFxjbHs1dRfg+K7ermKcpQ0pjkw8GfWLCwJSUCwd0jPUmdcklX4 xqUlPasb1jWfFNWpldeCee8xe+aPYed712CgvnHMaAiRBthPzN/aZXG4NQwGEPku4PsXeLFDo2WD 7se489av60oUOgjoAWE086icUtLz2eu+eZ9FyvQ/axaX2Jt090+Q7FIwu4POq68ss38JV9zqKMUb SggmKV1QnXvhheXvwvzyXja1b5FPx5oL5N/2bt0eYzP3zt1m4bxr/dKVxlh8+iSoee3ouaYK99z2 KuDonIZfElquSvhmfRF//nvvpFqrFmlJysRQOlu2fsN2BA3BDSbzxOSDfW2lkezF/xP9h+Qk0Y0T VCjwThcnswXHxfC88wEypMFJIiJDFttv9vu+ZoK395lnwt/vMy++z5Xoapn4u/eU0SQ9hRncbLZ9 i9C8Nh/0HGrqy350aFZDDR8UG5dYYnKFZKqTvR8jrsbqPjCskurplGe+5Ev5Vnn3/m8+GFquSqg8 U4ZrFczxo+q9hbkbaY/S0EMHz6O1MD837+Hfe9rY26aZZvXfKcEtbqVFbe8WLliuVL06WMa+abE3 X5QEbXy+5hoQgJStY1++cfNoL+nuIEmLf/bTd/ttx0W0uxoMiDwLeIQyLOELlEDyaO+XiHBHTy1+ F2Y94BuR/WLA+iYrwnzlMPZdTm4MSfUpYCn7OWRBSvcE5DtqgQjZ1K1qyNue+NWFkWTp7uAl0Yuj qmBC89rVONkuo+0aDasjBWxr3WacIrRdHhSTogs3BHTG6JGzhCS3EwkQ2oYMdEArUkO97nZFfkuY U0Ywo4T/LQIlQG9uEs9eqDMOiZbLsO75ixsyOAoFo+ngEsH+jeI7bS+VHOIidhG/NSJForni53kw ScuvyCtSJYq2lo7utvv3vX8yRBywxLI37YYHLZt2aZcuUOZQKC1+nwMqNL5fqYgws5l6GU1Mtndu tN1N2jVorrr1S69PXWpP26LbqivNN0kqaqfcQ3fe0NPAS0ZLZVgyGZ3IiciSr5DArInWyqSlu1/S Re8pigVt1LQZWHdedT1hJ53UaY5f7d4ecw6cBXxXdKmoZD6LGX+Mz3Skp15JpsMksrTtbjS78dmk 7vZZIWcggsLYkvHebuhP92FNvVmfKZ8lAcZbl+3SwkOnpTIs1em6hEB24EwD1l2fvnsUstjiz8iD sMB9RZGI2iY105Zil8yies/OCS0Ja9eNv4vNSKn+zrtpRQdDSbpJ2WrBRj8Wls5d7y52o9I/TM04 IIK5eljprr9Z8l+biW/sIynTdrfLJgGrxIJFfG3RVQXnxecWTEwdfy0D9gWI443dG3m4tFSGFXI4 ggUwm8o3D8m6MJJksVtYyvL2qEs63QwO3Viz7rVO2Ty9cpEONtV/4+Lt/ZwlDzFZ2Kdpfj1Gjbqt WX6YV7dJVrrAbJQZZd0lqwIiEAKNi3Lfs7qS3Bz1X2fzmjU0x2lVun8UWFnv23R+iQUTk3hBTsUH ScuVsGjr+Dnp/tzyUjCU7jSZI+VcEEkOUG7rgz1i+Nwq7LfYnUSlA2QTKGf/hmZRPXKlKut0zab3 ZAS4sLrUQ3Mk1aPmKqKISzcJgkgMxf5tGNre4zA7DUrHWGNc890smg0bqXrDbnIerJzLradN2RPf 3WZiBHcBagxUfSmbO63xCI9FrfaXmpbu6b4fFlOqVd37DslodmG0m8tBn/oYUrCrFCDZw+mFLjV5 lELWyQ+iL7qbTfea9Je7JOPQBcvnwQHz2lgW7zAbobEyLtiOhyuzgqUzrEYlXIjyeMms5LIfWkQS S+lsE/NJzASKk0rm1eMcNUXLd1PjtrxMAaq8r7e74uE7cQ6XXEVKljPgwvpCkO4Ul4r2yUoH3cfJ p7CNifWdjtMiD80R0jxTLB89aJ3mW0T6kkxSQGOFBqzR4lrnZ2Ay6Uvz/Jz1G3y40ZIZVkQXVH9s DPfB3HatbAGGJU04iaZ7uu5JC9RjPKtHpckMq8ukEj3cp85hks75+2LqWbTeGRygp+yim6VNFm2p c/3l+rCydvwkDRC+LzqgNZPrWi49TFRCTzVcgoElpJAHyi8Ks5kuY3M24KzK1fm+G3CYykrab4pT W9L82WNzNj6UkgcOHIMtrTf7wMJWdEGU+rsvi0ED5nfKBj/4IgGYnv0BJWeIEIntdataxOl1nmN3 uOeOg/NpjnbvCWp4W+naEOv2PPe1sBAV+Mnc1DN7GY1Se5uH752T/5Bp6QwrMaLG76jkBs6xFgmE zp2fPHV94GXWK745+HSmIZ2y2k7RtehcSQai7jPm37FYxSvaB8033UCXafm12D7uy/Cg4pgwirmz r3aEDq40DziPrcsSwoHMjIUwrN3eqZXe5xHMsMRNx1llKjut9EuZ5+fUqkwyziQJA8lOqa6j511S ZhlJHpOu9OM7y77A3yYPfFO55Gau6MIo92jCgzT4tdhjrEgbWDcpoXr0iThDKi1nWbQhuybkm3sc P7uS0hzJu8UwRJqmYu0wZ2JTCzWH3xTWvgsgiRFRLeJcy1mY33KWuowrb+B2YpM63rosWirDivSA 0YlmQhh0ftmSRBqek0DbVDbfspsIPHtBQnIu3D0T5Ewl0uAQTf6kFV0IJXO/qeku9fimoOLxeKSc UoUWn9DoMudYGgcXgGesYqKIODPLP3Wz4ZIZTf6eDvOod8nc6nMiS/LpgI6uiqjFEW/tTtidUm4u FMRbV/DdVg1907FUE5PBKdWpiycpOCxaroSlLgWlTJe7iB8ta4fI/KI5BqqkIl/2npQf0vzbOm9r EZJCHdzvvY8E6oLauxUtgcNkpOiMUSojPfV2fZfccJMW8jx8RwssqXWOYbn5uSSUcadFnCpzmYSX YVJQiXGmR3W+Zzx3ty5zCS4xY6DlbNrdN2feq/QN7GDC4r8/YiUszVjT3l1gDK3JzzT3jrybRHJm SRnQ3mp2e1ARce8LpGnefoYqLP7MRxipJEfIJutEDrYtFo6xlhq0xtwRkoTrB2fEdg4oY0MTWnnR 0+pOWTxie771SgzOGFK7EoSg0BK0yraahIdJRbvN5660L8yWT1/LKJxCOyhVyi6JM087Q6KQlpzR afrebU9fOzuZTyILQDOHTEtOL7PPly9hodnKikLd6/t5ziLlu78vIjGsJKxMLe2qXwJtm/nnSakl 7rQHXcDmIc40Yywsg7tIUVmFm4c7daUVZhlPOftas6rkMbtOJWm8ZdKzOhhwy2IdQlu7kSI8p7M+ JUiCgZdGy2VYObf6ohNpzkk2pUSk6eSUC6Q9szIKM/nH8zP9UMoV9VLjajA/k+m+zklMlMNRkhoX e07h6gI4nd/mtDfF/s4wCV/koj0+VPMOKaFpQm9ONX+OJteahG/J4itEQ08iol0kvpnfSl+vxMj8 32XjV7BshnVgpHP+3uueXtTxAp41M0U69ewlgc1ry36uzyAeF3H9MNqoc64fALX89OwfTVJR3yLr HZpZhpYQiz01gRnpbY60L9KEYe1GoX2/dsZqt/v7GNAFqXHJSql7zd1LS8tlWNGPgpqx0lxAx3i+ 717m0T0OKjno5fKFZJQxrDJ8o+9Z2khjfc/tPLPEwWaliP2qkfthtA+X68lQUlyXToK7kmKRYyP5 LenEeUNiTAUwPNP/c1ql0B/dIo3UUxhudDc1z90GNHTeK1OYZZqLeKsntTNBZH7mo8YpZqBqNbv7 GsWz/F12QzB2oRZGZw2AesGbD4mWndO9BXACFwnqzZX59/g7NWQeDrZHfTPZHXW2TKb9qMCfy5R2 7znq+27qR5mHbK/yZa4x7Zj555VtNVPm/9Yt0yWNnvVlH2C1FPV1OFMyAMSc6ni3Z+f/zJa5ENWu 5Ve4PFqy42gy0S7QoXv5ZS1ElpStd7h8Esx4P2uXmZXtS9JhbL5ntSThNWZWb3ar4GEezWRa/jTY L6lLR5ItY3OxJ6X9vgj5vETtMvbyvl0WVR+jaoWZzKp37fu6C3mBEdjvXBMx8CkxlY7rwsJSj9D4 kuV2pz+FdLKRzpxwrUk8RWTgzNrP5UxSPz14b97De/p1P4z3kGjpwc8tj/Z51NdJBRi4MGntG0Xf bHEG5Ye4Zh7VlQBbNJ2VrGYghLqNg8xAOxeIMSyVIhrtGPqkos0fwZ6+nncsfes2md/vpQRSSj7B MCJUHW6gH25IIS/dLBoHSXs5OofSZwF3tyjbCVQFgy21RMe4pPTs785V8XKNL4N9RPPf5llftrGn nV16JFsJhbBLBsU96EIW+qKSsHb+Tbt2PmPAn1umoNnzmT7SJTYmxaEFn1UkNM64YAtqnmW2R4rq kza6YyMg86xtHQhBZhYdNDGls/c2wc6hjYldQpI8f60fS2mnwfqK958HWyY/Nk8aaKE96pJ7W4Js +rP5tyXfi+bQIAlJwygfvvy5uvzQHPXPbiA3zE6qRSSzedT15i2pg3mARTvmjKYlg+r+W9YXfHDT 5And92tybae4wwsy6S+FpHDixF5z192gc+itOwqlPObZF6h1i+5RJ7nfW/fuY050n7kognkQ1DDK IgdWfh8rsRhYkESvxJSk50XaZVpv2PLXKHy4kopZbkwiLB6edji09JzuKumEGDWxuNg1GuoBDy9m cXcc5fK1Lok0OfhzO8sXCDPlW03eq4mtEJO9Cj+8mdk8aQhmGUMT9tJcb5i1L1qJnf45fDYyYxVb mOYBUnPqKaVHTeqZZ1gtiyXAPm2Y3g8ChepbQ6jMZ8wnnXbfwfTfomJp9W2KiGygsUY6s2PpUl17 CBWXgJaf092d88DF2V5Jw+V8Cdm/Ziane7l57HVQ6TxMDGbARi1wmvS7OAajLmW0zq/M667NEJU6 +7XkKPz0qKpfnVIP97AJ9jB3SN3FBVo631QnrQ0iBwtDNuE3RpCBjbvWi2FfF0O7qvY9ai2ABGsj ZWpiMypIy6Ulze1UX6tyaDEGALETlzIXUWSyQzx/kqA1KkOoJ2g9QtfWkWNXwvpxogqh3kG3z0E9 RdaGSLVGHG7kfHOWhdlUTsOxPPd7BAk1qtGzPNBAXpD31UuvQDe0dMfRvvFLYzQz0Ys7SltfqwPL tCBzLVe7AKJ77a6Cg539bc71JXyrUDHThO7idrumEJFuquAVLYUkzTtxTb9v2TpDCOXK7s7meePY lcoiYTrKcAHjTeL5B6GemtQToyEQVQVb52G0DRvHEYU43ibUI6hrtBJiFOTo5XDFNea4KgGNNWG6 g3h9Ug2hqgi1EGMkOPShVYUeVKbfA6Clg+6lmJusFtEHpFfScgtJN6d7yKBvMTm6sIiksmR8Konb iYk41khM7DGphA2aS5RACTLPhE70AfFlgSRRZG7dZqDNcfH5Co8U0piwkwT6puBn5mteJe2phu/y W3YEnl8o/yLNH+3spuLSZjMPF8EmFQgSkLomjs+iW2dg57xbrSsr4VhvBLuWD6wYotMJevbBjJXG tFmmabp9ljDdIa4FIgGmNbGuoXaH6xAIg4qpBNtsa0UGG3DsBLJ+BK0GHWxrObR00F06ViRNACTF 8d35+/zJ5N5OLJL3PeJSUqoX8n0z2H76j+RvNLvnArvOvMkqNDiEYxqqe2B4nzO02/t0fyv6vEx1 nTedgkR2Mbv7vZ1sDYu3ax7tco+wy7PaBUVr4uZJOPsQTLcIQFRBQtUYHxLUIdK8f9LZBKiGHUOF 5NdWQCYTZApoTagCMXpGXcc0Ym04sqXTCTA+h+w8RBysI0cuR45dBcNjj1yVMFCZ/tzdFt2/Jp1b GJxxJaE8FwMg2q4SHXAMDiBqU7IlWSXKyrmnKknSVk6w6NJfTNY8oRXYnJ+p/RJVfk4KB0rOkkXj oztfygBU2jzxc0aqSmcMlmfzDfz1kilfMg6U78p/er8hSAfH05QNNknpyVmTPpUt1TMvQN1/m7lW d9q+y3syBRkSUJjWMBmhFchgjWxdk4BS0eBcEOsRcvpB2DrlWUKHJhhVCT/1R4TKNzY/hUf7XDcK DpU2QJ+bMeO0A4uwKRi8eDok1WiCgS+gGDYgCrp1Dp2MYLS1Rz8cLi35qPqkme2+4zW/Sgdvsl81 NmBsxsZnq+nZGaQzqEIGdltAY08KZ2hcFySlue17F6+oQU/bTCv/1qXPFakqSQH+d5ck/4f26ktS xV4S817YUKfo3Nwsfc/Zo+2dskECIdbU42307AOEycjgguEaEga25Q6PwtFjZlwQ1/JOfgZGm+AA eH6VnLWh1SJnMnsYllQbv8EZa7bXpJL7N6eKTmVFnbH6JiDY2hg/ghkWooQgJGfwvFnmOZUYBbtA C43lzb829xTaRKaOxNwMUGpScI2vZDTM/p1wJ29XiWO0m6kuhKUc87GZHK2GfK5SIZ10Mreaz1BK 4tfug5Rh1kDu/jJZNZrz3G59SarWRU/dlWJeZUOLjV2wL2T/vCAQI/HcA+jmKZ8fIV/XemQ4aT2B nXNQBdAarafIeIIGx0Xza5bvVjgdh2DCj8T+Psmkxc8+NzOTK+d2kr7Su5qxSBB0vIloRRhuEENl OSllT++4Q6XluzXEJKrHQk2T1mC0xOKZ8dGmOBQSjsxa47r3l052ZaGkHew2r9MkTTcl4alXFQEY gIYeZpVb9rlLHYfF1rD0OTM6NS4A/WVawtm8e3vaMe8w0l7qPDsEs/YqVXPAw3RM2N4ibp2E6Yjk ZR/LDTFNvpCypdYQJ6ARHTq8EEJxzFwpkfu1IC75OMa0m/BZzm3vqMZ4XrU7yDWMxNZEa3TzLLp9 DqmnTNdPIFc8ymJCZbh43x0CLd+tQRWta6jHSIzmBLe23qhiu7oc6KyIn8uEObN2j/Zk/6t9lF0E WE3AWruG4vPZSuVOMndXuYh6vT4JSJz4Qu2u1LYI3bhCUsyh+eV3jbSQkmkoGiM6nRLqLaSeEidj mG4T6wmiAZU10uFc0RmBiGGrLYrqwkwwQVGKvkv35cLG9PJWF4TmVN/y1J+ZxvvlVNYhls672t5r dWpU2DxD2D5rkE0YwmQTOTVCjl+Dbhx55EpY5j9yHqLlp9LUuZMJrA1M309HdfWSEKLtcrF7WKoq oXBItEyOe0duztRTqBLd9Lilw+NepGWgtGMQzTHqn20SVtafaSRI3NE1GRku8p08V5YBwAGZbMPp T6PD44RjV6LDo6Ajf5SdlpOdckMAncJ0hNQVDCtiSK4zobA2l5tThYidRC6iNidjRGKN1jVCtI11 tInUEY1TGv/wCmTdmFIIllIZKEO5gmt4Gm1eRm2Cx3NAftqkQ+V8VI05Vd253XRx9kiXquhyV0cJ zqssSwlhMFON/SvoQAhRYes0unOmGNWpaTDTEZx9EAkbj2CGVY88t0/IJjzBPaHHU/PUXb8MBtV8 KaatX9DaaUrfJi5iCWk6iCAdO+4qYGun77aj28yuRafEKD47JCxJ71u+nkbz5wGkCiADH8N8Fwv1 vJClGBxL0XpKiBB3zsHmKWKsYXKKON4krB9DNi5DBgNiMPVMgsB4G906DZMRMt4mBjGT/NETaDUw i7KHXGUbTpwyGJ+HWFPXtal19dikf3UHTTGHSyGaj17Gf/y6mO9TEIHKlpVKyFZwezUFnMlWpaWy BGmbk6QAw3i70IZLRNYue5Yk45HXoYKrkAHigPKo4gbTktzXcvokMj1PHO0gcQJhzQF+lyxrRTSi mw/tPZaHSMtlWFUFcdiaz12UQiY7IAM0rLH35G8zq3l5s/dLQQLsjNCBwjWaAAAgAElEQVTpCFkf ItUQrdawXd0ylJaxdMZcuw52CTiuD0QAOXxSP90GA161Rsc7xMk2Mp342nBJRAuJYu0oOlgjDIY2 ZmENVIkuKFsONGPeliw4mjSk5rAodU1dT80aNR3BdApx6lqdZSRgMiVOziA7W+jaBuHoCUBgsgNb 55G6RkM0L3ARdGcTGW3CYAjrxxCpTGpStzBPt5lOJ+0NTtIpMVWWkpSGQSQLW8gSuUlIEbLzZgMr eDiMRoJUUBUH4mhN4zisKAEJ5uIhwUPAOgHIpkKG9FSbSkX+dxJzzBIe3p7EJO2ZlQyIFchoG908 aVqJBAjrnldekFj7WbTprIP6ESxhZQ4PbWSdPICxnsJ4AgNFhuvYlCdjW4fdeSIg4/Po5kl0vANb FVQbMDxC2DhKHA5Nzy8cVrP1K1ODtdk8aoTxhw/NqsIBP9hhdI64vYloTdBJw0BICyRA7WpHfRai EkNAB0Pzlh4cIawNCBKIde0mfWdW420LK6mnhg/pOMMtgmNWCSyvpN1WnSBjiOMd0EhIqVqqDowg ijBEpjU6PeVTTgz9SfOuY4BR74Pss5XnqdcbxBa3dLGlnr4Ejx2NjS9UblsXxG7U1ZjiKkscLQn3 3TsGZSoa8dAwrP1hSMbqgOTRX4cA9SacPWWq9GBQaDIJC2TpJ+WUtGSGNQBqRNw5U2tIO0yB9ygK 04l54ZKCow1b0grMX6QQsctQF1Wv13cMaKw7EjwMRlGtCVHRkNLQBoIounOW+txJwnSKyNClgPMw 3qTeWUPW1pH148j6CZCAiqejmQlW9kXn2R+XrQaq1KaFi2UMDdGljRhtKU+nBJ0yHU+QemzmbNMz gCFdA0LKLaVoxk2YjtF6AttnDR7OalGZvE7dq5tGzfKx0dRXkjanhPOkvksOvQG08pAV/8SyXArl ElC37rU2y3RSczO3yoMfRMrsBR4yVqV6EndtNinVyl/ILd95LlfN3GxhpeI4k9fWPbhXQhKScj9k 4DzYAfKiE8u3Pt5CB+vI2lF7pGdyiMGCtEP04+tDgPEIPXMSJttoGBqzciumxKlpDUl1VU/3swAO fJi0dCsh2RDYcHYl9q5njbVP73zFFtjakTz5tPZsDul7BiBtdZaafGmHEV8IIQRbwJMdG8idTYi1 b26evyoPWo2OtpDRNnHtPOHYMXS4gQ42kLqr93WlqSVKVyKEGGEa0ckWjHcsTKo2IFk9sWDUQQMt JWbh93eb31j/3Xs9f9f2WAp082NlRgPMeKH3RRFkRhOKc/XKxgASbCxzYLlz564vXdMoJDR4VCvR n1jmBIOHxKMwSvihY5HunHpjKpzNLdUcRNZ+F6n8moPlJfUc3ZWZZKzRcw/C9lmkjkicOog+RKqB 7ddRYK1ChuvoxLIxhGpAHG+i402qwcAkv+Ro6n2hde1MrJRYL+IIvQOgJTuOBpo8QEKTgdN3qrQw WvO1PXmDVjCpLRlg2rHDAImR2iWGEJRIBepAaqg8XEJNmqgnyGQbnYyoBxuE4YC4edb8a7Qm+n4b 0kSOhYoinm5mtIVOxshgDdk4TlzbMMzEF2BQnBmop8Zp3tPCNSxMI6eUsR6wyUW1L/YmknyFjCnn PPYakTixRbx9xsKO4tR3b7F+QRF8QYpJTaLdXp8ZhnwxJh0iA9udo6Iysyul5VbjXcqoC0lUnZG4 FCcFQ7BOm+X/kiTptjSXJTKKTS3d74B8UgfF+7CtLgbfsErpzOdtZSqcqDjTHzR95RtiGovMgrIb TWJ6jSYAvkEn14gUd5o0hckIzjwE5x+wtaQY9hRrqKP97kyNiUmZwd89akTDABlsmPSpUFUVqpEY a2Ks87xI42D9tb+5eNC0ZNCdIh4P2qpCEtt3q8AXSArNEfEcQVswHSPTsclrA1PbdO0oWgUCio43 YTRCa6UKgbqeIHGMTCbEbUyNVPWsDdaIRqVJ0pv9R6shDDeQUMFoh7h5EpkeQ4ZHYJgOABiig6pZ EJj5XFBzIJxOLeVH8ph2k7asr9kC2cfGFnXqkz+gdTRpsd4mTiegtc3B6H1dVQ0mKK5upHdM6lF0 SXXuYDRTOIj50EU1SVnULV2qHiIqrbtmXyst5KrFhLKljbY61dIwuzWpuktAobppobq1YANFU8rk RBkIL59ZZYuvwZbJQ10gxUNK3ZrPjWySDo3oocKKLMniqUMkjmF8Dp2OLbwnDNDJBJmMiVvnYLpl G1Rujyc+dCdU0+KHjTQZUk65qlDvzB0k1lPbKGJtm1VbUDTm2XX7ucS03PQyUtlekTZI73AlpYdN k3dQiNzNrgvAwCdkCITtCTrehnqMRo+PCsGFNx/kMDBXirAORzaoKrHdZLxNHCe8CxgMkFrRGJBB RQgV9WQCISLR8IZYGSYhobKJqGJMSSuUGq13kNHU/HdELBwjJJFfTZzHsRkE0WjHnWua6oJuV7Bx BAau9oaQJbKiJ+3/jjNICOjEQ0DqSRPoKsGtrS5ZAvmkH8dEskRBymDJLufuFc/3MYmDNWTjiIWg jLbR6ZR0PJUJGXMzmaVJ4X+U/lxi7Zw52WivZjlzUlqLT5LUkNWx2Vs1tTW9Gk27pFQBk9uBiYVe JjiTLqu3L1GCuQdIyHNc6il25qJL33Uk1lNDeM8/hGyfRdCcN00kUGOSvYYAaR1BloylcJzORgYt MqC4+pvIVGclhRQlaVaSVTKP1ZxTpy4RLTc0pzYzbhABnUKcoDtb5vEuGKfXCGvHkOHQUmJMTUwO lVk+lGCm9EqQjQFsrHmeH4UqUFWBWI9gqkTGsLWFTMdmLpdATGpAChHSCgYGxuuAvFvZOCcrVBuD UFe1xCeuNV1t0YoglacIUQV3QsRb34jcDmq66pDUGRWF8SaMdyxaf7AB62u00/KYW0XOmBMVxpbA LYGoqVy2ruZUJXg9yRpXZlT191/A7T+B7jLYQMKaOSnmvF9uHZTuZJ/NWl66AqSdrFGfwpyyqbqe dkZfuNIwZpU5IlnTLHKkRAoQLqqOuS3F9RZTc4knMxG3oPqmJPUImew0lsPN04TxljErnwuiSh0q 13a9L0JAQ+UWwJT1oZwF9l/D9aTpjxwOZq4N4huQqd2FSwrNZpDbnrVt8XC1RzDoLucfsMEYDEz/ Hu/AdId08AfVkFCtI1IRY6SeWmpdGR41j+cgECJRBlBPqSWa+CtryMD8iGoEdA0NHrQ6Pu+7/sAm VZwgEghJph4YE5NgGExUD8doWR4775FUhwK0XKwDhFBV5jpQVKyI+8GQtnoyGB3H6GjizKCYZCFY SFMIyGSE1KNm928eOLvK57xTVr1i9Ayau5MGoAroQEDNnyqqZgn6Qg/Y2PddneeEqnILZMP0dss/ 31QjUBwQoZ0+yJbmAhpjEPKmhNqGaWUCjDbRcw8goy10spM5QdocoqvgwQO98xEcadNwRpQ8+VN/ ZlbU61hdaCKQnWYbHU/Z/eSn8toy5aqGlithTSOi2zBRTw0VIAyIIZmzB8SjR2HDHQNJkggQlNql FhFz0jSfnTpbVQyoFMLgCDoEJKIyROQcUWpkuIbUikzNByiEQO2TMDoTEU+yl054ocwA6ZR2z+YI uGagy3Cg1hsUYnozL/yPapAZVN7xYrHgVHIgrD/FpLydkT1Ba3dwnJ1kUlicbC0EL1aTcj9p8v+x pEyoZX3zNgX7IbmKiLugxAhUjhuOrL1RUXWQWnxsRCFWJi1K9AyjXg+ATtpzJFSzu3rHtC7Jslby Y1/kMb/tMCfo7F96Smmh1HwNx22SJDK052v63aQmHW8hDz6A7JxFqInTKSEchaMbht9tniHEsflW +USQEIia1FN7T/Oi7+x8jjdpXedUSnmeJYk5+VplKdYdchNYH9K4RfLJ2aWVNFlcU9fG2sqnLCOa jFrLpeWC7sOA1msuTXl2xaRLl5aRGA3QrhKWpaAx6/Sa8IO0poXG3F1P0WiLwPT7aNacas0DUiPI AK2nhvUUJN0vIYBE99AumFJmVBQSi8zWkcuEYrIUFBJyVYrziXG26yWpmDMNbtRS7e6c3k+ze6mm Tstl2u2SXG/jR+QzOxadrorU0ZumjXRYOFtmyc2xSpLFLZ2YNJO7KcwwrBlpTfN/aPd48R6FlNQv tcmMFGUSkLUhM3EMEM+uOFqjmw8RHrwHHZ0FEWJljrFan4Uzp7NUpz6n0QIRKsYoqX7d9mmrDxsP d/MXbEvnLdU0TcoQsgXaqjB3j3wuY8IYg/SfL9CaD49kt4a1IxBOEIZDO+0jBOrxFsTaGFQYIsMB yBAztZsJWWOECJWCEokpfKJuAmaz2B+COS/W0XZCt4AEgkXau0guaYeO0Z3xnBxTsEll4nPGQJLU JcWEaJmAG9KceZT2RiVpARt47xcpPeeb+xuDWKyNaRso6qZqohsHfVI7yNrKFZYWR91YVg1gjZkZ JcC1aWMhJUqKpyzaL4VjZcmouhhKk87VmVPV4H6ussdOgG6SXq1LrG8116OZ+RnDC9k1gSKpY353 l6IUd7Z0Xa6jkbuvlTFRg4ICoVbidEIYbxGnE6r1I6gE4ngLTt5jBoZqQAK9SaOVXRW8bQVDSC4s WZrzfpthnDFZ/hoJV2PtrhBdq2OarwljC4TKszCkVMhujMptTVZhLcaKkMH6pmZlZle/xLRchnX8 quysKGLAdLW2QS3uE5R2lqTTmxecYQRxSj2pQcdQbTjMU9kBEfigADZ4NQHzL7GdXRp3Cugsztld MOn6aX82yUybiZlAt2Kn78Wpu5u/h3eYVibFgqZdcGb+umjvqqr5/OAma3Wswp5n9sieSZbCXGIB 9ruqk3N29bxDrqtKTNuvZpNYfih5N54TsiJpIQsesiIzz0zHTUEpaRWe6UFQKn8fH5dQlu1SkmaC QQjSLOT8PkGa/kCQMyfR03cRtk4BFhcYxcbMEjQMqauU9piGYef3SQfpFu+j7tcHjQTTFtzzZthY M5Mo73/3iorNu4TKLJp1AteT60R6npprjeZogmZNkFIl+yZt97iUsERaLsMabIBuNoGbBCQMzQu7 biQbzTEktflQ1VOXmmqQaL4qUYiTiZnUg5+3BhZlPpkSa3fwSwwwHdSZJlRKiCbNYpUEShaSFNCo L9pIJc1NobPuqvxuXclKctkUMtTtIKHrqBe1bqxvxYLwhzQ7q6byRdbMolUy80fytGr+O+PgVAhN 6XuZqaW11qRVrENp4divWkh+SFr0RQxffsfkO9aRVLM0ob4IQxOkzITUj+RZYfWWa7/9mim3QUDG 54n3/iXEHVQCAXMh0EosEUK07A2SO8O3NdUm2Dgzr6aTLAi8+bnZHNPzaSTFULUYn/o4ixRzKnVJ eQI0YtknWu8vrTHDVVxI/nfNx0LMaKQuodmolkRLDs2p8+4eqEHFLIH1iNTJFrc1sJ0pTk1yqmsf cJugWk9I3tU6GbnTnMd61Qlk1ELcLVSaJA13xPCgNhnN58qzPJa6vNJYgRIVzGPmQFWc6YW06hVC klf8PcIC2Rw1IkE7zE39US7alE2S5nf7Z5YJpR8lhdRIkn7afaLle/XUMW/v7aZHKZ0kZx5QpT4I M8/PVOBc7WeKM6LGXSIhQj2sunz1FkUCA4no6Bzx9L0EHVuKYEyyygfiJgaVfZYaNwOTnJqNouWk KjLbJ3lTnJX4c2uzNK0+1g2Oy6DqvJ1JTuaZ4dtPcAy4LgH0BlgXBGI09dHhhnIINPsQLo+WHJoj mEdzROuJ+V/FGnGnTwkpTKRyCcQ61HakYBu1Sp4cgjMs1AFOG4RYJ0aRdJX0abaaZBZWTKrSujlM shEfGsAToDchYGaEBV6T3rVIf9vOGeU3tqS1OV1G5ThVR2CDvEyj9rGOdHxTEu/L6/ZuQpsRNFh5 w2Aa36xkDp8zgbN6XLx3emxm2qnlVrF5ACQ1O/T2xcwVBcSdhCncArxdA1XqsObjEZthN58VD6NJ UpfhOcENOvHkp9GT9yLVoJFM/HaVBrBOIlIWGnND02+FukxRycwbqU+1mN/dhKkqSz+S56JLU1XC K/s2DC/oGkGs01mfhbNr6g4PsI5FW1XKdQHZZWOJtFw/LMV2gdpTWbj1ScM6YItDqwAxYQDJhD52 b+Fopx2pWvrcujjOPGFQaVfoHonUkjxqVKc+43yAyjANG9EmA8CsDkF7IkrDsII9x3IcFc56oQBM E+g5jwpGpiGhUsXup9m10NvQLwYlNSdUknNF5WyvfRM+85KOa0ZyJpVGqphpcpbQisXasXw2DDxl X1WSW0OjYu1FgmX8sLkTayVMxuZCcOpu6vMPwdpx5PjVcOJq4toRRIQQB5aKr7L5hwpRpoTBAKio N0/B1klkuNZOCROjM7iCUSf1u90Bxbu7lF9VjUtEPp0pqY3lx09b1mhT17OTJhbWZopNN7d6S0zL MO2ibjYW6YyLjz21ay85cL1wTS7dHx7RoTm1BR4T6+yYGSQQXRxVCbYLRkU9kRv1yF0Val+ovnDz icFpYOwf1YhIRDQUp0UHcna/FuOiGNjgizOJxn2DVUhP3espwDa0Ja2Uw7uFoRTe6A2OUNRfspJu KIhIoXWK85Ge+1JxzKw9Hazb2ggDA/HjlK7JuiMDFT+EjM9oBsC7hWjcGBBawHZoHDIbBm//puR3 DR5UiAF5fPygBOfzglis3fZ5OPMA7JxDich0G6hg8yS6dRY9dRfh2GWwfjnxyGXW75snYfM0cTqF KiBHLoe1Y4RzD6DRwYDOhpHTG+VNoyVb5R7TWATzB7e+dlPHdP5uVHHNklvTPyUVc6RfoDYDDIBU nrNdjCnFsRdIwyS5FTNOCzMbzTzF/9LQch1Ht05a7F8y2YYqqwuq0XJfR0uZYbp18tFKakrZ0SbO S5XULY+9EkFl4JpAIWWV41ws+uZ4LxfANQXhBk8Ml1tvIShQWLLoqFtl6UTB8LIEqIpLOzTWSWLd rqcrxSiNWqWNa6Qk579kqo7pZG1jAAZVBD/52ioyQ4ctKE3nrZVqQHq/9G5RzSBSa8FwvD3rA1gP nNgYcEVV8emRwqiGUXQssQHAVTDwPKS+T5tGV2KLxnykIhDQ0Xl0tGm5xydj8/4erKGjTXR03teh ulTi09tTFlOPiWceAH0AEUGqIXE6zVZWCQPi9jl3a6iym4pKswWgzqI1BTgXJ9C0pCafE1l1rgpB WdAWvJAOgQURyRureVY022wzI1wlLzbGJuNEwQglGQ/MgTboJHvhl243sYQiMkMtgqjBYyNTa5dH y2VYo23CdMfFUhtE8Tg9Scn0IONRDCqSJt85RyALVtmJU2niZ8WdGVuMhWLBucSgWkhqPjjVkGyn 726kzZsUDen80j1ePVsHnVH5Dho8UVp23VgkzkeV5CFt7z4ghEAlxuhjSH5BCslHKzuHdtruv1na 4OIZEZhE+6jA9cf4qSef4LnXHefYZRtcdWKdx12+wdUbc7IQOG3Vyt1nRjxwdsT5cyPuemiH3/3U Jv/ljk30/ASGYqEtAXLueGzMKirY2iae/gycuxeZ2jl+4tazFKepyfUhSSUz5EzeN6Oolmqo6Qnx IiEHrKfsErFg5IAz2lQXs/MiS16pb62fMwaYJLZClYsa7cRojYQgtglLe5NsYWLlc7T4HWzTq6ee g0vRuvZsI15Tq47OHC27LxkJpjWCzMFHLx0tVyUcbrhmpg6AZmHGNtqiI1u6dJaSbLduXUuFq/Ki tgcF8UyKtpANH3DT8YylTtpQSmtsZ3GMtChClSZ6ueOV7+Lfq5AEICN3XLX2pYyo86k97wzIr/0U mbamagy5PNCl8zIurQWTTCYRanj2Yzb4a08+wc1PvYovfsIJ1oYVw2o2aHkvOloJT7lqg6dctZGv vS6aJfaj92/xrttO8oFPneVX79xCRzWsmY9UpQOqs/cw/sxtTcOH62UPEHHrl9aFY2aB2WRgPHYk yLQJubRTDcihR77JxYwzTh1/SnOpVO9SX1auxUl74Zd+C56vndqxOvFY1VjM7TROfl6AhmFWr+1n aaTu1vzyMCH30xMRS2gZKg8x8xNw8u5ebozS1CFYPi01K7m/kWH3g16vvktGy2VYVbBkeIqDi9Lq u5Z1xS2EQGPWnreTpjrmpXP1VLjN5JrDkea1OzsBzjHPk4Z4lzakuqQJdBWvW0lSRjHRd6X9TKFd yqrARBkcC/zIc67mrz33UVx75REedexwDs8cBCAEvuBxx/mCxx1nu1Z+8tQO7/joSX71/ffznntO UZ+7n3rrwUJi6VKz0BrpqlMijVeWlDv1SPlHmnNd94/E9Oz3kHyXSE6zbVWsDTvaWTsk41CssySf jRNl2FCWvhwCiWOgyoYb0ibfEvm1uacQkdWFgeYN25KVlGmFUCpRy3YyHRm2nLQBjF0ul10tWyXU CqLvWp561gaoC/1JVqdai1iEjFMURZu/04D2TVCfIFoOWDGB0rdK3CO5yRXVhJ/swrCkWEi9Bcpm DhqmWc7HmReapcx0F6VOexQMj9pWHn39UX75lsfw/KddzaMPiUntRkcq4YnXHOENt1zH37jlOt73 kU/zb95+ln//++fh8jXY8ASIvXwrYTrd0B7/V1wNSo7BtqNBkRe48dnyOFZJ35JULBk7jOUcLNqQ vN/BsSGPRhBXW026igbwA6ptT3Z1fEqAnF8dYzyW/tvaIB4+FhPeGYv3SucU5GncODibSiq56U3K H8tOItORZTPRwn3BXU4sZGu/svXB0nIZVgguZpd4TVLXFqPZmL2ZEv3PpptJ0qklETUOflbWHFk1 NhNgTqP2Nv9m9bCJw/MLMy3di/YzhcqyGhV2Il//5OP8wEuu4yVPvWrJboENVcALnvF4XvCMx/MT 33aKf/e77+Wn3/YRm7FHeuLnCkAbinmQMZv0H7IEbkVCg+ekwy40FWmrviatTIsKvbKkKvrDYhkQ TpKUirkqqcl5EjR1ugpZBiFrvglbK7WpkNrFt1K5hDnkOep/Bwd1U175xMQ9y6jWNVMXDDTtmoX0 Gdvg51JoqQwrqJoHccIQ0n8L3tWiXfsqTYa+Qh1ppyWhtR81P7lbulezetAOeWlP7Ua1SFhD8i6W WXVjIX+jgyMFGEVuvnaDn/4r1/Hip13FkcHDhVXN0uc95kp+6rtewbd9+XP4p//5T/jVP/kkHB10 NhcPeqcwyEAjWUDR785AstXYXSRyhg317K2xAZnztCqCxHEm5qxHc6qYYq5ALpupmDuamEux+Ylb kqVM/Z2fkjQDw6iiJ+qTdFp0wbiyKqxKFvuK9gUw/KyuEbU0PxY+GGmcpJs+QYRHtIRlifumzQ6X /inl+D3JmUBOXdL9XVoTx2ZW3+JM+Fhn946x4JPuZOdYRpM0oC+MxHYxyabgZseTGQZ16XYtrRU2 a372Fdfx+hddx9VHl39w0iIUgGc88Vr+jx/6er7xxR/jq3/m7TCYwtF05p6zD4mtedPkHsP+jY0q aGmsE07kizTYAm8Fc3db4okNNWeGKOZIS0Vs1EnjS8VMyn9bNlIJlTHHqLPuA0XZRjysmsw+GS7R BjWJRaYOMQOGJQ2IWUuI6k6iyZ8RmoiQYkqqny+gqQ+XSEudrdEPdGxIyWe9JZCzpDTXci7gkpJn eZHGxeukdjG+xJXKHU3VsSzaPjfgPlKpSem5SXRPzK1tMZLymJmMF1T4sSYsY9QVYLvmJU88zi+/ +sk85Zojl7wNB0GVwFc9/6mc+w838vP/8Y/4B7/9QbhyzYffnUopGIJ25gI4AzCGZd/T3PFUQ2JW VssiMgdSkCTpNBKLFv5NCTnNmT/m8T+K3Om5ue77lAU1V1XTCTq49a42Va4aWEZeVYXBkBAGdmKU n4zDYMPn7gTViI62jEHnhIxNCA5gKb2n0W0HjTCRtcveHrk0tOQEfgOoqwwYtjm4zOJApbjdigtM 35M01enSrtSU6kp/QhPDlkJ6shd55RMl1aqgxgClYD7tiSjMOEAu8fhcjQoT5Rf/yuP4jluu47L1 xTHChysdXx/wxu94GV/6hU/i5f/iHbA9gbWBwzWaoYFQJYwq5VErPfOLMRHP2EFiELsvy9kkgo0E 3TCY9CjJqz2n7snzTRyz0swg0/2xVFGz20Zt5xHUE8e5ItOJEgZrJkDuTEyI9N9ACGETJHjeOPLm m3CqxFjNSVvccdmbloL/S1eKJdJygYvSQ1HSLiN7zZU5tGRZdSGa92IHdb2nZG2L6K1/9Ua+/+VP +JxgVokEeNlNN/KXb/pmXvD4K2DHfaWKnOcW8aCegcA/bjQJIbQ+TcWS61m8McX867Sh1eK+egsm NSuCmY4X6xqd7KCTETodO7Y2W1ac+TU/KRqnaD2G6Q5Mdizffz2G6dhOmHLmlzKOJmktMdGmpp5H XmJaKsMSAkHSZPFwGizWLYgnRys/bjIOQNDYEmNDrO2j0X5Lx4RLoV5mVTD9tkvjPImbJkZafOzY sUadtcDp2Vi8vje2Z0/JeZ/y9Xnl93MdbMf0kKQ6cM2JAf/zDU/na579qD3a9tlLn/fYq3jH//ot vP5Fnwenx6BmlhedGkYVYyNRhQqpqpxCu/VJSf1SsHxM0pbk3/LH1SUVyR/CAMWNSBqa6yho9DtT Bnp3I5Da/KxibWc4on7NYmxDmsP1jvlGTcdWV856WwED4jQSpy6B6bQRBhQ0mmO0QRWxwejU/cHi FJ1O0PHYDhXWmF0ezMXDJcpqiK4dW8IIN7RkxDWdqVymiqXF1fekNl4PNDBTyw28dU9iZA4u9uEU CaNotWzOwzNisbcqkfxsrOZqz/L7I2PIcbIDZ0/y1Tdezb9//Rdz9bG1A3zGw5NOHFnjl77vlTz1 Mf+Dv/fmdxEv32jDAyWI3NPnWRpqkG7IhhJmh6nPrUWLCgQP1vf03JJS3qg/z8KgovoBH9F+rzGm YsUq4tSAW0mnJIl2pvVMw2jmI7ntMfmClb/NUDGPk0VQU1qaiKtpACMAACAASURBVNQBnY7m3Htp aLme7uVfCc9eyDLYV4eRigWPiu9oMwA8bihKl3P+paKItCdwtrakZ3baKOnkmN4WddubcC/JVw6M JML5k/CZT/OyJ13Gf3jD8zlx5HOfWSUKAn/3NbegMfJjv/0BODLoYSppg2wbXnKsgUB2XSBJTWRM LN8T8TCqqnEpEJ9zGg1CcmnI1DJA6lxNjusrfbPSobaue0nCmHTqTqjJqlfmPOu8Xz7lRpv6Kwf+ U/hZGQaUwH6tzTFUHfPUUTYYoCmFOUWs7XJouY6j3Qv7ZFZ9taTwnpbMptJMuHLHLXhGPkEknSaS 8A4gW4wWYi6LSEwldzwgEoHRFnrHx3np51/DW/7eN3DiyPre930O0o9+y5cyCIEf+ZV3wbVH2vPK dsWOTJ/k6KRGaes3v9h+SMnsHDMSrdHJduOk2kqpY1W0asuqQF/9niVCjZnMgOWtigqcqaUxJKbV SIPNW2vCOzI8YgaBFBxf05zyE/34wilBq6Wem7NkCStJGxe+cNtpOqz/G68Sx5ikVSJPWtx8ncQo mwwDb1HDrJwDFt7S2tmlaNV5QXx3n9TsvliCufEIvevjfOUzHsvv/NjXc3T9s8O/6jAoAD/8mluI Qfi7v/YncOV6s0GJqVjGPBIOlBw0S2m7y0Cy051/F5pkfArTMVqPXbI3srhhdWnMWWK2EqZge8l4 abIQBij8tBJzEygOZknpsGPw+tNJSUkxsIWQr+UIjWx9L1Vayc766YCWlLcttyMa09S4XDvdcv2w SPmaLoxhlV63tpEISazWmTLFM3qyLyYfmiZ1sYvDdYSpwkbFDScGPO/4gEetVRwfBqqBWWSmMXLX 9oS/3Kr5wHm1dCmVwEBaYvdBknq6mCgVjM6j998Bg5pf+8GvekQzq5J+5JtexAc+eR//6f13WPqa vEmBjXlns+ym9EknyQgW8wq+v2lbCq/HFtSsllpbt2rLA3btcW5+1DGecvlRrr/8KINBQALUkynn x8qDW2Pe++AWd9x/Hs6P0Y0K1ixQOgTJOSYzB6yh2eALJC6Qk5bggp1Kc1/Kypskq5zbquC34hl5 0+EYuXysSbzPvl/4eBwELTc0ZwbP2R8J5PS16WCddl0uYZVbDSRQqnh2yPyJCEyxP04M+dFnXsU3 PvVyrrpsnaNHhlxxdMDRYZgxr25OlXM7U85vT9g8P+YdnzjLv/rIae643xIU6kCQ6mLYVslw/Xir 6RQ99yCcvB8m53jfG1/Doy8/ehHP+NwiAX7l+7+Ge//hf+Kdn7jfEgzmqZBSYAv5JO+ZrB2+AZbw QUoNjZqHez0x6XZrDFLxhBuu4W+/+Om84gtv5NiJYxw/dpQTR9c5ttZeagpsjqacPr/F1uY2J8+c 4y3v/Uv+t3d+GO47Y8fVHRdkYNwo5azPGqA6c0lpZFwVTLnEJLadsPObJWxOI23fwFIFjsUyKs4e UGgnS7v0dAmUl10e/qb3vYfx9vMvvBMkZ9c0KV7ySTotb/YY299dFDcrnU/cWmEnwmOO8OvPv4ab n3IVT7/24hf/p86Oef9tD/HP/+xB/ujj5yxwt5L9q42SvLCHtn7ilHj/p5GTd6JnpvzuT76Kr37B 0y+6vfuhhzZH3H73A2yfO88n7j3Fn975IA+cH3H3+R3OTpUbTqxzzdF1nv2YK3juk67h6InjPPra q7jhmhOXtJ133H+GG37sN2A89sD6RkoByKBQyuQww7gKPCoMCXFCnIxgewwPbPOCF93Aj7ziJm7+ gqfwpGsuu+j2fuRT9/OBD32M1/2X98Ft98PVx2BjaIdjqAUp56y0AWvfYOiuDu78GpuMuwxMlMqy pWJ1DF0siwrT6OopZizIMT5lVwhUa+/lt3/8iy/6JS+Qls6wZLz9/LnuB3uQQg5WTm5VMeMUDSaQ n+eDkHyrlGBi9jjy2qec4K+/8LG8/GlXHUqe/WlUPnTPJr/2x3fxix8542J8mDMAxW4n4qmOxyZR bZ4lDAKys0M8cy96dsTPfvNz+dFv/bJDH0wF7n7gNH/0odt5y7s/yp998n5uPzMyh81KYBiao88E B3EEpmILYljBZWu84rqr+bLn3MjXP+/JXP+Yqzi2dvjOrH/4wU/ysh//HbiqBOH9zD4/XUm1ORdA igD1nJfKpSq2tmFrwnd96efxPa9+CV9442MZHMJ5fTEq/8+f3cZv/t77+Pd//Ak4VqWI5ULSSUzW fBepzDKaHD8RcvaT3EJV82qv3PKXoA8RU1XqMVnCbC1NgcHwvfz2T6wYViKbFq7mZTcE67zElNr+ t6kymf3euiTmfpCeo8BEedwVa/zHVz2Jm590OUeHhw8o1sCtd5zmje+4k7fduQNrsyC9UiOipjJP JsTTd8N4Gxltw2RkDokITJUrr72cO3/2tZzYODz3hToq7//YXfxff3ArP/Pu2+H0tgUdD0IBZO9C paSbBJtRDdURXnvz9Xzjiz+fV9x8I8fXDzcH10+9+Q/5+2/9EBxZ80gZY1jZpUFra5xU2SKsgHtH oZMaTp3n5c9+DG963ct57uc/kbVLcLDozmTK+/7idl79C2/h/rtPw/F1srGoF1SSBumQihCMMSU7 UZa0PGi72diV8tSpGVJgsPbIZlhdlTDlnUIVST4sHsMkGSGUnlzpSWpyU27oYVge36e1wkT537/8 cXzHLddz/BIwqi5Ngd/803v4jv/6KRgKMmgamw5K1fEI7v4o1egUtQyt/eKYnAY4O+F9P/9Xed5T rju0dr7rw3fyxt/+E/77ez9lO/xasB07YYderpu9qaGkhjtImFJAJ+uZCuwoPO4afuPVN/EtL3km hyVvnd2ZcPnf/jWY1oRBMPypbHGKtSsO71BA6hG6swMP7vDLP/yVvO6VL2DtovDIC6PzOxP+3Vvf yQ/8wjvg2hN2bHyZaK9DApZiphq4NmLXc6aZ6GdWO1yidRGtMZdhrT+SVcIPvEfGWz0YljUr7rZz txzuysspAn2WFIFx5FlXr/Pr3/x53HTd8Qtr+AHS7Q/t8H1v+Thvu+McrJtqIqLo5kNw6l7YPuui vdhCT8kDtyI/+XU38Q//+ssPpV2fvPcUP/877+Zf/95fwInK1D1wACTl+qbIrGqMTCTY8VKFL1CT wC4dtOF4nGcfACxV9hQGT3gM7/yOF/AlT3/cobzXH3zwk7z8H/8uHB0gUrmdxgJ/QygymtaK1DVx fB5OneWlz7qef/V9r+LpT3z0obRrP/ThO+7l1T/zm3z0Uydh3fvQnUrToas5JlcK3pPSMAfTNLK7 hPrJVNOIprxosYdhwdIlrKVGwsrL3vAG6sl1s7vynM4ST0pWhkWk46780/h29dQ4Uf7a0y7jt173 dG4oDkNYJl15dMBXP+NK9P57eNdH7oJ6Gz13P5y6h7B9rsk0URoSovLMx13BP//urzgU59C3veej fPEvvJ3333YfXLaWPaWb2DPHflK7VO26RISKKgxaViZS9Fy+lqPpmnICVBA3x/zb996Fbu9wy+c/ luqAAcUnPPpKNk+d4d23n4a1dTRUloIlVKhMLBXReBv1D6c2+d5XfiH/5ge/iSdce+WBtuVC6dor jvNtL72Ju+76DB/6+P0w9DjBrrGpu47qmBlROng2+5zVU4LSaC59S9DiJe/mI3/wK4f0anvSUhlW eNn3vEHq6XWOUPn/QosBZclCxEV2P9bKP7ZLhvyh5SrhIDsC25Hvfc5V/MtvfhrHLwHIux/aGFa8 /Asfjzx0D+/8Hx8G3XEre3KPlvZ7n5nwT153C7c88wkH2o6o8LP/+V38jV/4Q1P91mzyVgIaa8sb hlIeIy9q6lNIZv4odk6gVC59+Zikk4jzvQEJkq0lybkxBEXjhHd+8B7+/BP38NJnP57jB4jPBYEn P+4qfvF3PwrHhj5dBqYmbZ1BRjvIdIzGKZza4u+86jn80+/7Ro4dMr62XzqyNuSrvuRZPHTyJO+/ 9VNwbI2WC3zpipDdDpP6l3RCV9FTzneSQFA+KTFCq1qq6m4+/AhlWMyRsLSr0pVqR4cZzUuw1tQF bNb8g5c+lp/7uiczWPJR2/NIgJfedCPXnQi89Y89BXAGT1OQdoBpZO1xl/Pm7/6KA7VMnd+Z8Lp/ 9lZ+8b99CK5Zd9c0m6w6nRRWqeT5b2MWxLJc5jAOPM6gqpBqYAtAoDlkhEYqDs7E8ic0DG1jyG33 nuef/I/b+IZnXcdjrji4LAFXnTjCmk74g4+dRKgJO2fQrVPGqJL+dP8W/+xvfhlv/M6vWvbp7HNp UAW+5oXPQmTK//tHH4PjPYw99X3e+NIP2kjHyTdLmKMKNi4gxrD+cGkMa+npZaTzv9xxpYTVuav/ Ay3Gl/6cKN/1nKv4+1/5pEN8k4Oj7/yaL+afv/5FcGacJ5jhLL6QN2t+51tfyPrw4PaazdGE1/zM W/itP/s0XHWMfHJxrPP5eX1jkRzBs5uSetqfyQ4yGWVGJ4kZuSglMkDCAAlDCGv2qdYgDD1NS7A2 HFmH7chNP/M2br39/gN7X4Bv/yvPhs/cjZ55EHa2TaUSy2Glp0f8o79xC9/zTV92oM88LHrjX/8q vvPrngObk84vyfKnHd+yXahzTJiRrzFtTn9eFi2VYWmRXiaKZVlQ9zuaVQubvDxtXmb3q0RUahDN ZXQa+eYbjvEvXv2UZb7mvmggwt/6hi/h1S96EozGnuPbQewY4egar3zhwTmITmPkb/3Lt/F7H/4M rA+RsIZUG6beJWZTUoIOcbzWP1GCf8QE4enIgrF3Ng0LSj5OYWA5n+opjMdU9YSBRiqpkGEF403k /Bk4/yBsPYToCEbbPPdNv8WdD5w5sPd+/FXH+K6v+DzY2TL/q9ryRMXRhC+56fH80Gu/gvWH8cEc XfqXf+db+Kbn3WCZVzFVPcTajCOlelh++ki6P0oDRewnoeEh0cNCwqJ0UOsxp0o6Fjw3t9DT3dIz qGvCaEy1eRLO3EN86FMwuZ9/+g03svFZNPEAhkH45e//WrjmMouSSFjW5pRf+rbnH5geH4E3vuVD /PrHFW54KuH6p1I98emEjTVCHU3y6Z77SIPTJskq50LMHAwISpApg3pCmGzC1mlk6yFk8wHi5kni 9mnYOU3cOkW9+RDx3H3I+ZMw2iLECdQjmOzA9hnYOQUnT/L1b/oNTp3fPqC3hx/42hdCrHLcnNYK x4/wX3/itWwMHl445150ZG3AP/vB18AVR83aB2RwcGHSgpnp7PWHAS1dwoqIxU1Bw6xSetmk7WlF kyM9WTlAwgRO3YXeeSv1X74H/eQHqG//c+KnPgL/88/509d9Addd+dkZW3fF0XVu/eGvAQaoejzX o47y5V/01AN7xls+cC8/fes2POZ65MQ1ltjyMx+nPn0foIjE5qgp6N2dk2SF7+jq15RAVGUaIGJZ MTVikoz72mkV0KAWTlVP0dEOqFJ3nRdrgbU1/uftJ/mhf/1W6gNaPM988nV8+/Ouh4nnex/V/PHf +yauuWy5WTUvlK5/1BW8+ydeC3efA7Uj9FrH1mmc47elSJx6/nbffPx0arteI9S73H/paPmiRzZr l9ZAt0CFkM9Wa8wUBiBWky34zO3o3R+Bc/fDZButRygRdmp+/NtfwBc//UmX/n0OkG668bH8i9fc DJtTmA74X256Ek+69vIDqfu2+7Z4zdvvgrWKELfhvjuIn/4QnLwbIRDFHQvrAs8orUqLUGFNBHJo VHGBBsDvxyUlubKIwNEhv/bOj/Fb//0DF/XuiQLw6pffDGd24NyYn/mWF3DLs598IHUvi17wzBv5 se96MWx18azdqUCQZ5yCBDEwPtbz/bMuES1ZJXRSMk6Vc6mjaF2TjhdXEQNqt07DvX/B5I4PwIN3 gVQoQ/Ihk1FhIHz/a16yvBc7QHrdK54HV18OW1Ne9aKnHVi9P/C7t8N0Stg5SbzjI3DqU2g9Rau1 ZtOoazvnT7EcSWTvKTzJU86hjwRiqMyJxLGTECHUWuTZd9eFjK+oBX9qQNMx6NUQqqG5q8iAmIJ4 wRjgsTVe+6b/xgPndg6kH77kWTfCZcdgbZ3Xv+rFB1LnsukHv/UVsL7Ww1wMgE/nH4hG85/r24A6 RhYRIUgz+sui5aqEgw0DdRMoEkqmlYB2C48YMoXTn4aP/xnhgTsYbk+JotkSbkBKDWe2+E/f/5U8 +vLPTrG+S5cdGfL73/1iuPIoN91w7YHU+dYPPcg7bjtLOHk7euethOnZfLhrCIF0UGimMtZT2h8y bOUuEGj7mPPOp3VfkphDGe5j1sRcLuGX4uBvFeDKIT//G//3gWz2V15+nB/6sqfwn3/olVxz2Wcn fNClR19xnN/8ga+FUx2mrrbGNP2vPKii87805m7Sav4XHsESFhJMaqqGSBgiOsifwIDAEKEijMbU 991B/MzHgEg92GAaXMWw+AJ7lYnwwmdcx8tvPtw0KwqcH005uzPh/GjK9JDH8MuecyM/99XP4LJj F3/46flx5B/90d1w8sPoA/cAA6KngDYm4jhF6wi2Qk1XnLmZQ2jOueQ7b3LhtewH/ilOmkH95GuV BqiHgkGWZnjfjSp3iwgYMz1+lJ97+0e57dMX7+pQCXz1l34hX/6CZ110XbvRNCrnd8ac3R6zOZoc Oob95c9/Jl/0jEfDZGrOvWoHo1Jr25FIG3nBpkBzHdW245EKIWcVXA4tNzWlphNAZjtBfacN26fQ u29Dt09DjMTB0O7zo+mbo8gDbI55/Zc/h6sPaaf86D0ned+H7+Due05x673nODOpOT4c8LQrj/CU x1/Fs5/2eG6+8eBjzYZB+N6vuZmDiLd918fu5z1/+j6YPIQFvlbmOpFi+lrZ2noe6HtEw6i0waIw qUnceTRne01MSU2a6j2DLwVHd6+l2L5WGyIMI//n29/DP/6bX3vBfZHoZc89OFW7JAXe/7FP8+G/ +AQfu/M+PnZyh61pzWVrFTc99jKuv/7R/z95bx5uyVnc93/q7e5zzt1n3ySNNEKCAWFWIcBxBBLE xsZbYkxijGM7CcTGjh2WxDbw8xMSHDsOITgO8cIWVttgzG4HLDCYVRLGYtG+LzOafbkz995zTne/ lT+q3j5971ytczVH+VHPc2a59yx9ut+ut+pb3/oWlzzpQh571tqPYNs0N8XLXnAxV7/547C+1xwP GhsgXlM1MPWqttxoEzEvk9kZRV7jsjFr6TpfKlH/XT4pdZCH/jHqO7+JDBchmM5PI/uhI5AQfymh w08858lrfpRXXn83r/nglXzpK3dab12nsNQkyZwqUN4OS1fB2ev4q599Jt9/8WPWNHydWgPZ40Gt vPMvPg+LhwiFz9RrAO+UWzMaKcUoLUCWD25oZkI6/jjqChmpX9JEwH5DBJNt0UYnKDk023rIMkRj o6KJpy2jzhC/9uUApeZ3/vpb/KsffhaPeQRu+NOxWuHTX/02L/wfn4RbD8JMgIkJ6E4ANVQ1f1aW piO2v8+lP/IE/uvPfj+XPGHXmh7Hiy5/Bi//3U96JugbyTIcSpvG+tH5TRsPNGu72XDG77DG2/z8 /Fe8TOLgLE0NtRotAyAi/Xni/lvJF44TQzHCtBK51JnTjTRGGfmNH/4efvBZT3ho1JP7scVByave cQUvf+dXuWu+bztVNzet9gxLVfIM8hy6hfVzlTXv/9Lt3HH3AZ7ymC2sn3r0TK656c59/NL7vgQd kwRWaOGGnp4jTTqYes+Sw2q6/aU9WNbZ4emcr+xQaPCrsMIFptcruIKmaESq0voWiVYESGsjVvb/ uh59zsKQ3TvmeMbuc8/A2XtwduveQ/zKWz7Eb7z3S0AFcx2Y7BImJhBXmtXM189EDpt63HnvUd7+ ya9z/PhRLn3KhRRrxAHrdgr6i8f48rV7TDxxBZDejKpfUZ0HRjiy416NjwvZHm74wndna47txslr 20nTxXnqe64n3vktZPE4dZEbcMIoupIEwIbWbjCMXH7xY9es72t+ccBP/u4n+Z9X3AJTBaHnfXFt mkWiXSTadybQzWCuy3u+tZ/L3/LX3LRv7djZp2ufuOoG6A9GgHdDFWk7J02Di4lBiL5wQxipo6pI 87v2c1ZF2cGdlSKxNDJoNUCqfjN6XWJpj7qN7SRFqjZpuH1TKUx1eMXHrj4DZ+7B2Y13H+B7/793 8YErb4WZYrQuQm6Vznpo36+ul2N23RxmCt7y0a/z4je8i/nFtamABoHnX3IRLFmXwSlXp8WrO+Wq LRt19uix8fOwokESQUHmDxD23YycPILGmkgFYlM+QlADhB0dSePoY1ajVLA05CmPWxv1gkEVedl7 /56/PJgRdp1H2Lgd7c7QDFkJpjSwTB0iL6CYhN4csm47YftO7mAjj3v7dRxcGu/wyWS//qm/NxE+ iTTqD+Cz70w217xEDuTmtdRSuaju5PLCZXiz5Y+Q28+b/kB/XlbYHIfhAOraFJQ1WkqpNYi1Z6m3 ICVXGuMokmrPDLXgOph2VRDYc5xr7z545k/mCtt/fIHdv/qHHDhwHHoCWiIIIevalJp64DOilEBF IBIaSoFHlzM5n/rqTbz8TR9gUK7Nmnny43fBUoWiRIGokejXWpMYpkZijP67ithEtTVBxO7NaDSW cTux8WJYvtOHuk88tp9w7DAwHOEq0jE2dBRCZjPZtKpsZyg60C0IkhOHFTyxy6Y1Sr/edMVdfPAu QTbv8BsKpBrCYKnBcawIABCg0yNMziFZgYaASmYXHYGh8roPXctbX/okijG2/d+y/xgcH8B05kNj U3QVrIAR3DnFmhB8AnDzanXn5ukDo8mPjTVzGlOqrkBEqxKp+gSiOT2V0Qgp3wBG7yQNXtX+Kd5H mUZricujWCeQcucd93DROePDsYZVza/9/p/D0sAka7wXNuFwsTaeWgiBWEUfG9/GheyfgqCzPf7s 09/mKed9hl//5z902se2ZXYSLtoBh483o86WpeYiBBcjV11OZRG/lo+mWGu8k5+1JNRD4vwR5OQR olSMptEGU0+c2YjMbEOyjBAC1YljQEWY2UAspgCFQcV/+L614SjdsG+B11910LSgdNQ4qlkXJruj EnCvPflFnPiIa0bViNZI/wT1/FHedt1+fvKiKf7R0y9Yk2N8OHbH3fs8WjKnuoxrlaatiEAWzPe0 Kxqtqt59VXVHmVqwCK4eIi5Nk6qGo6ekViz85g4jHMVB9uZGDokbFuzGRy2aA7QeQqj52vV38oP/ 8Kmr1TTPiF1x9XW8+4rrYEPPbvQQrEug6Fr0qbURZGsFLW2TiD6lxlVYE76NKmye5jc+/DX+8XOf zuN2nn7V+fXPuYg3/ukXkdzwxuhDUaUB4BPVIaCxahVZFKh9/J0XQjSO1XmNNyXsn0SO3QPH9kFV 0or5be7e7CbYsgud3UA9OUfsTiMbdxA37aTuzRo2IBlozqU710YN8j1X74dhPSqMpF8s0w7yiKN5 jPYsRJHqBHH/Hei+mwnz90Ix5I8/ddUjzte6Pzt25KSlZ8GGEgBIlpmsS9ahmURMwl9HeJGEJLa3 wiVI8+TmRyrRMKphnzhcIrR7z9pOMAG+qVLZ7AT+97JztfLnfg1UoQh8+MZ7Kavx9LgNo/K2j3/Z qsetQwyZCRhqwlsFT7kjy/EhOymqiTaARbtLJe/7zJVrcozPffw5DY41KnrYIyXh7X3ILqsB7g31 4VFi43VY99xBffwQhIhmlkaIWAsH05uRjTvJ8gKprQFTibYPRLG2ESq7QfKazbOnrwg5jMpvf/GA z2u7LxD51IfXLpE4hGMHiHfcBCf2EWNFDAGKLn9+w2FuuGP/aR/jw7Go8NU7DkFmsi5allCWSF3b +dbapIGrCgZ9k4QZnESqgWeNag4NcW2rzPhbVQX9BWSw6BFDIBANSCdCrA0bSSGb2ugqarVp2kpz M6MRjTXNRC0iSG2vqdU11y0gibUSa0WyAooO191wcNS+c4btxtvu4aPf2muV18YPuCRQrBC1qdDU FWlKTxOxJn3+ZI0jizCV88Y3f46yPv3vtWXjOijy5el2yhwExxAjsY6MSL6p5MEobRdZ3kw9Bhtr Sijlcffxtmh9qC7anYYNOwidYvR78N2rOXse8VTQFYre6TusK+86ARKRB3VRRlFFDBCWTqCH98LS cUQUbetIiUK/4vo79vHEXWd+iEEdI3972x6ol6C0SEoUtDY6CKqN0wUhkpsDKUuoS2JWIHnPUpmE XsUShkujFCEO0VJQzSw6dseTeHYNWbQZJ4W9n5eqmr7RxtK/W3pOwV/vWItGj+72zrM0KOl2zryM 8bduvhuGJfQ6NBhfijxjjaiYM1VG0WiklWb7G7U5TunvSeWqG+/kH5wmP6vT68G6HlSlORzHANvR UzNxqqn2+sQqP8YmAhsfDAuMO8LKO15psxCarItMbyVsOR+ZmMUkZe4vuglABkVnTbgrew+cbE0G HgGUNiwh83FjlsdLuUAoFwjDBcL8PuK+W5GlY4bPaOb8pFba2Mv52HV7T/sYH46pKl+f7zc0EFuX aa8NiOSeXlubDmrVK6kj1CVhsIgsHUcWjyJLx8iGCybQp3GUNsaa4FFE46BaaZ5NcqGlvuGJSIxQ qytZtiSw2xywNizf4nc1A0mKwLE1ogI8VPvINbeb/r07UvU6IITUH04iqYk0MUtDKRDV0YPlq5vJ nD17Tr/9qCh86lGDDY4iLEmkUefUBQlOMmUUWbm3Eq/oj9PGC7pPbUAWjkBvijizATo9NLOdnOje veES3NebBC7IAp01EOkrF4fOXh9l+oQMjTUMFwn1wKapVCU6XETKRbsRqxJUiZJZ5JIiwPSXAlnG R/bMn/YxPhxTVcMwshTRYM535W4pK44ZgToSM7F0RpVMhCpGmr1Ooj8/jFI58DvSn6ceDSfiZCqP q5oKRMhQHTmy0eFIq6VxhKcYpcRK9IhAL+PYwmCNztZDEJOcxwAAIABJREFUsw/ffdindOD4lACZ pV/Bm7hbXzednyZiuY8RdwBkwnBh4bSPsSgKdvVybj+5aBOChCaK05g8Jx5JpbWvrchKTNlB0zUd n42X1rD5PJjbYoBu3rGLfD/9haubMhXCmoyDUif0idqFNOb1CegfR/sn0bI0ekOsSUoDdm+vrLq1 kGWR5oZerMYUTys2aTnl3aeSEponjlp1zCS08gAxDMrewe/CJshqT1AGVFpYvKUaCRURCVZCl9CQ qUcmo9e0/jZdLCE6K95EAN0BCgzXiLf0kG1QNdc7y3JiHixaTGlf0hBrrQkJ0khf24/aoi06Oh+K j1Q7PctDYCbzCLaVktthRKvCrlwRKo4ZN1fA4IAx62GNF8MqejSi982NAKPF+mDeBA7WkbI6fc8f 6gXYfzs6YfpMoiW6eHK068R61CdH+7Z3XCWkHcsWh4RgbTsAWvPEuTG16Qgw2TEeVqtRuW2m0rD8 HFoJPOlYGdi9vMKlHmF5yuwbzmgHF+f2qOFVCqpCICMlQHbzspwDtOwgEmYlhlm1HWpdWRVuMGRq DTDMh2PnzE5w98GjoErdsPF9cnSdnKift5CN5JTExPC0mQ0IqQLanIu6QvLTv0WHVcX+YTXad1J0 5Z8TNZKG3I7MnZMkSC6t+fHa+JnuwP3jVA/0gL01lGugm9ubzOHYAfTEITh+ADl2AAaG1wi1XdRT +uhaC86AFcRbWUKbBhDh8nM2nPYxPhwTESYn7YY2lnhoFbYbiIVl5zVhUw0Bsmk9Xv7mGpeB5aqJ te6yw63fpUUfq6r1c2kBJe2H/0xMcVRFTnm/JjxbLJmdHM9m8IO7NkNZI1lGlo1wojR9eXRqg68d gzsaEL4p17XxIrc60ptt8/0enpWNw/Ir6HhZ2olWvasUo7P4e8RoxaiwBg70dOxR4rAeqkWb0iu+ gw1LhsPTTwmeeP42OHTSy821aZN7Od/giQyyAnX+10iMLvfWlA50J9CiS8wy6qzVulIKP/nks0/7 GB+OiQjP3TINIt5+EZf1BoLHhNp6NFU+cwoa46qYl0iHIIU1Kas7InX3FpwGQW6l1AT6ZopkimpN rGt/b7GCR5FDYWPAouYEgk0srlK1UJYLPWYBOhkbpk9fK+zh2Eue/XhYqKy1KXQh65DV0SpyHsEE hKBKiJaHqbfDNBXPuvRzUY7SagSODHnSY8877WMsB6WNAAu2kZJlxDAiASvBOGKAUhOjHUcUiL5Z EIK39ow3xhqzw1q5qz7YBxZOx2jTVU4uMVw6fdD1cTs2wDnTUHoFrEUUlcQOB7u50qy99PMU2rdx iRRhRSXfOME5Ozad9jE+HCuywHN2bYFh1TgTdRkX0FETbDMCp/VwXCvJvCzzWtr8sYqthpKN9nD1 Vhscm5IgFpGmcy4goiOHdl+fExUu2Eg+pp1/59nbYPuMY1JDZDjwaERGcB6kmiiqalW4xO5vzok2 U5ctCgMu2sqFa7BmBktLcNSmDTVncRlEaOc8rpKWh0TFGLOjSjZ2tQZUbXpwo3RZo7Gy/zd1VF/k KEpNAwPWJVldQX/A7YdOv5oC8J6X/gNYqEcXKAvQm4Tp9cjMJkLR85DZmq/tYQRML13Z8TWcIYVh 5BVP2s65m08/vH+4tnv7ehiMwF+Ndh6TGiUOsZ5aXPcFK6E5JyLW22mppTXMGpcuG72/AtEBaH+b FFWIZCZl4/117QG6wbEfrUq0qrA7OOVLbskLRIVhzfMes8WB4zNvu7Zv5BXPeIylhY7HNtSF9M1S CuaRqqo2abkghJARSFQYZ/4fH/C+f/n8NTnGW/cearBAja21nfZWaP1eHdLICeJYo1NzxAtR47Tx OizHhjTWxKpEa1ug4tULTSd4tEJtQQgWXlcVNQrdwEduPrwmh/TDlz6ZbWevswirM4Fsfgxh++Nh 3Q6Y2QSTc1AUxhye3oTObIJ1Z6ET602hoNdDii5BMyshO0j8yz/81DU5vodr6zZvHDkTASvv+Xlt gPGWnvfKVqRWdGuBljakT1Ia05Z+aW/hjbaS/V+9EpykhVLkEWtbB2nHt7WgTQ9bYzGOKrL9mhc/ ZRdFNr6l/G9efDkMQcvKv7k2RMykcd8Qnh3fiun/KQqTFIUJlDVnnbeRFz7nGWtyfB/9u5thuqC5 Ls1eJE0k2H4sO56oDebmq2OsNlaHpXVJjLVdvJBBKJCQm0evK6+ytG6qlHNXte2+Se+72+E91x5b k2NaP9nl/b/4gzCzC87aDXNb0KxrTO9iAmY2o5seQ9j6WNh4Lmw4F5nbSli/lbD5XMKm85HN5xM3 nw3dKTha8/6fu4QLt69Nr+PDtSdfeLbzhTwFdH6U4v1umGa3NBHV6CFNczRADb7JLCu5ywiWt1Ap IJlVxRpNq/R+IUeyHCQ3PNBxHOsnxR2lbVTGc/Rdvvms5ACt/efC88eDDSbbvXMb737VC2HvwvJ7 X1LUGZrMS9Srbxos0lymmo45h5MV73/lT7Buqrcmx/e+K74DHZtEFGRlC5FFVY0v82OkiRYTqVUb kuk4bcxM99xkccXA2SR70rSJOIdF6wEahy7yVqGxNEmUPCf1rHF8iZsOnlyTw7r8SefxRz99CcSi AU4Vu0E0y5Gii2adJp1SIpp30M4EGjI0FNCZgd4GfvFHvocXP+cJa3Jcp2NzvYLdF241Vrng6bY2 kVJKCFME0H6MIh1auFZctt8aLoOj96QMz4MKe03IhJCZnI1KMCmeWEE1tHFTtB1gu4LW1K6Wm1r0 9rgxOyyAn/r+Z/GvXvQ09NgiviLsjDYN0J4Su7KuOvieuPGKGqB9cJE/fvWP8Jyn7V6T47pxz0E4 MTBoozmWdHHcQy2D0jyaUlsTKurDbtfkcE7bxuuwmpOYfmCgY6xbEiMxWqTlE2iJVdM0qnVpDbhl H4Ynue6mPWt2aC+/dCdvfv7ZMB992O0q2E4TQwuN2B2OQZyseMXTz+bNP/NM8jHqYLXtt370Yjgx dJ8TRsfts26gTdkYPSwKC4455Q2dw2YHBqd7WDQhIWtaPPDqUsgLx6ys+te0f9TmrERSSjniAkmD bbVspd+qIk950k52rJ9+xM/dA1mRBf7Hq17Cy17wFLjzJFonlt7ylLk1lcDxW9+eywi3zPN7r/5R Xvbjz12z47ruhtugI97+kzYlRi1DqbbibUSNg9VRKjj2PLBlY3VYQTKS3LESLXKKNXjUJGWJlKVJ ylY1sTJdb2sqLZFyCRn0YWke6pP8zme+xXANCKTJXnnZuXzhF57AUzZ20YUKrVvs5OXfBFWnPvRr yAOf+OkLeeuLL6T3KCKOXPyEXbBjdtSOYSUpGokcxylSC9xyjUmfoJIA85A55mLVrlR+UMks2swK YpYTJbNHyKlDRi1WUhekSSmVrFGDSGYIQMtDrebz52ve/JLLHqnT9ZBtosj4o197KR//vZ8FMvTI AgxKo2VAC+PDNt+6IpY18d4TXHzuZr70p7/Kr/zk5Wt2PMOq5o0f+wpMZajTWUwLK11ze571fYZl afeyveHRsd8C4+4ljDU6TDKxCfwVa4+pTFLXn2l/tXPoWEF/ySqK9RCl5Mq/v5M79h/hsWetHX3g 0gvW8fmXT/Ppaw/z51fv50OHhuhCtdzV18BExg9t7vK83ev42WdtZ+PUeJjX92c7t6zj31+8i9/9 zHdY5kmTD3YcavUNdeWqtfQONQlrVYvGouTgFTB7b5MM0pgqfQKxok4R9IM15ZTo6sm7N3PRBTsf /HucARPgRy59Ggef/Fje/akv89krr+evbjwAR09CNyPmmTmwocLWaV78+C286AXP5geeeRGzk2uD WSW7be9BvvGlW+HsaU/37OfBVTd0WfuUPWHVS/IoirDG67D6Q8cvogHCUd1J1VCPNKcbcDexh+uK WC2gwyUkqk9ZAe0KH/zcNbz+Z9amHJxsrpfz4qdv5ceeuoU3Hlrk5Ik+3znY52i/YqaT8fgNPdbP 9dixcZLZziMTUt227yjnbzt94P6f/9Az+d0Pfh22zzhk0cKNGoWF5SZiy0RQYmo3SWA6rncluZXr M0spRJUYQDTY9RkuNZUpjZVz2lI07JuVJADsPqx945wY8i9+5mlsWXf66eCgqumu0aSaZJvmpnn1 S36Af/Xjz2Xv/kMcPXqcG/Ye5sTSkA3TEzxx52amZmc5d8dmuo9QhfNDn/4abJgkhNwd1EgwsRn+ ktp0kuz0So/VUqXVkC1L28dh4w32fv3zV1IvXGIldkCNERy1JlSRmG4m9Zsk5dXDIQwXkFgSQsfT GEsb0cAtb3opj9mxcWxfa63t3qMnednvfYwPvfafMtE5vT2mjsqrf//D/N7f3GhjplyFwahOsVU1 bJlLEodmEIEB5iJCTABko2LaMcpHd8Kee/wwLJ6EUBEU4/mEvNmMGqJEclgpLbm/Xb1WCDlH3vMa 1s+c/tDcP/7I33DZJU/kwkfZfMPTsVvu2c+FL/s9KMQiYEYzCM0MH26uQEh41vITP2rQDnaNs+Iq PvKGZ57Br7LMxouwxBNWzo41lCVUA1OrLEvbyesIdbQJOXXlpDVPH0OOSqCOQ9RUnKDoQRT+16ce PaOf1sI+8Omv86mv3s2R46dfBc2C8MsvvhwOLEA5NCeU59CbIU5uQGY3IcUU1m6UESRH6sqkjgXS kAkTWy+QTg/pTECnZ4/JOVh/NtKZICwtEfqLhupqIEqGZjlR7AYZ3RriEs1hRFlo/eqUbfXoEh/6 5R9cE2e1MCj5xJU38L6Pf/G03+vRZG/98OehqixBiRWq0aZPiUe2ap0GkhkeSYq+REbtQyImo93M JYys3MvOtI3VYUlpTklqtUcV0TrxQiJIBVI5iVTRcgD1EERtio6zm0fsXYXJLm/+6n6uufPIOL/a mtkt9x7hNX9yNRTwhe/ctSbvecH2DfyXVzwXTgzM1YcOTM7C1Hq0N4PMbkSm10Ge28LNcmIUYiiI eZfYnUCLgpgXaOhA3iX0ppHuFMQSPX4Aufs69Ngei3yBNQvmyxou2MKPX3bxmrzd0WMn+OSX7+A/ vvdrfOu28QgsrrVdc9NdvOXjfweTLRw1EXRbz3PCSkN1SGmirATkYXSvfTf3EkrICRhpUGrnWWlF E0WpIl4hpHKiYm2yvVpXDrnIaGZa3kU2nQPbz+Mln7j70YQVPiwbVJH/+N7PQ6+AmQk+/qXr12yQ xS++6LnsvmA7aMfGk1WVy4nkxJAjnWlkZhu6bgvZxIxFTEUH6XSR3jRMzEF3GoquRVZZAWTQn0cO 3U1WRVRyTsnt0ha9yvdIY7EsN3G6RFMldApGKVzz2p9aM6rIX3zxm5ArbO7xhnf9FUtr0EQ/TovA i/7bh6CbOTdOnH6SMEcaAnZD0G1Nh7I3iU5u1YauRV07Hea7uDXHBjjaOPIYK+tJW0YKUbSKMOxD ueTYlQH1GksgolmGTq8nbt4F2x6DzG5Aeh2u33uSt3x2bSKScdl7Pv113vul20yCtwj82Xf28e1b 1oZrNtPr8PHX/lPodlAtoDeJ9iaRqTlkas509bMcAeqQQXcS8gKVwmgIGsiKDmFiGulMmhhD/yi6 cAyNJVUjx9xO71byqlwPKwiJ9U7okBUThG4X7eSEPDf5bBE4NOADr/5RnnzB2hBFj5zs82ef/QbM dqGT8RdfuYnf++AVa/Le47K3/MmnufW6PdAJDSE1EUWTUoc0ulzSeo69fkT09R/p8phs3AyH8Tqs amjtGLVTG9oAX1SLptSm5BqvMCOEAskKpDtFmN5E2PYYwrYLkLkthO6EFTVUoFfwqs/v429vOjrO r/iw7eob7+Hl778S5jrLwOh3fPbba/YZF561iU/9yvdDGaA3jXS6xJBBbxqm59CpdWhvA0yuQyZn iD7RWbLcsBHEWmtihRw/gswfNkwsy9DUA5hs1ZXuPK6sICsKl6KB2qNqKV1+RhWO9vmtn/9efvJ5 a5MKAnzz+tv4ys2HbEpSjLBhkt/40y/zxWtuWrPPOJP2+W/cwKvfdgWs7yUacKtrhBYZdKRV1ghz sEqFcBV7cANaHjkbb0o46EO/D/0lX6DRiKLVIlk1IAxLJNbEfAImNsD0JpjbQrbxbHTL+cQtFxAn 54iZjVZXHY2PF4BMec6Hb+XOo+MZUPBwbd/xJS75rY+D1N424WlSL+OtH/82B0+u3ff5oUt28wc/ ewmcKG0RD/tof8k2kc4UYW4TrNtGnN6ETMxBFpB6CNUS2j+OHtuDHroNTh6wiDkBtCJOovf/p4ER iaoSIPXyKEos+9axUA+hHhLrIaoGGuuJIf/s0gt59T//wTXtGvip93zWm4LNBKAQLn3Nu7nr0PE1 +5wzYXfsO8xlv/2nMCEgPo5eo7f+RDRlLyF5KB31CXp/Y3uD0SBWOVzR9bCaBM2ZtPFGWElHKs+Q PKB5Ruz0kO4MsTOFFpPo5DTZ1nPJNp8Dm85FN+6knt1mN1NQHwq6esuMhAD9yHn/7dscXCjH+VUf tN17bIHnv+GDUFbQcINk1CIxEXj3J7+2pp/5Cz/wZP7wJRdDsQE60zAcwMIJwsIxGBwjWzxKVg8p ig6hroiLh9H5fciRvTYEdzhw9nzLLAQz1CSJBfoNYc3QmQ2lyIIVW7xSaENbQ/NcPbzEv3zObt75 up9bU67UF791K/u/eTfS0EQcdA4CPWHXK/+AO/f/vxGdHzx+kl0v/M+wOCDkmaMqJjtuvbhxtH4U +7/L3MSo7txMLaMpXjmGpdHY8bGubfL2d7OmOxu2IyePWjNI0TGgt5hARJuTGUJAQzHKnpv82kdD OfC+OnFHkMzE6p7/zuv45E8/jnM2rC2beC1tz5GT/Opb/5Jr9x6HXo61KMUUr9uTJgv+3Ye/yU/9 o6dx1sbZNfvsf/2cc9k8O8FPfOQOqI+iR+6ycfMhI/YXbOGG4I6pxpqmpeFf0Y58Wl3Qhoe0xpuL 9SWGYCPcNQ6xHlJ/bvqeqnC4z3/6me/j3770BUyswVSkZMOq5s1//gVY1zEhQXXcJh1kJyMemucX /+sHeOtrfopd28Yjbf1g7O79R3jhG/43bBKQmlhD8MgoNaenKyPQiAsmUcQRCI83+odRV0FKI5vW nTP61Va18aaE01thw3aY2oRMWMqhIbdSedZF8i4aOozGU7QfD8a8cJsL3zrQ53nvuYFbDy4+Ul/n tGzvsUV+4nc+woevvRd6Gaf2oriJQF3z3s98Y82P4Z88dQtf+fnHwb23wv67YPEILBxEqz6B2iq5 Wo6ad1bdIx54VYeQjdKP1d6jinBoiT961Qv5tZ9/IdPdtW1z+up3buejn78FPLo6RSseYKLgr67d w2WvfTt3HVwb6aK1tlvvOcDlr3873755L6Hr5F5pjb1/sKbqWnSrXLtHWal9vAiaCEgH6U5bw2zS lV52wtPfq6d99/1Qw4CkRqWGTuTmo4tc8D+u4fM3P7pC/b+7+V7OeuUHuHLPCZjoskxORTKagbEm YwpTGb/x0b/nG7esPW/o2bvmOPC2X+Clz30yesdJYiWQ58QgFmGFzFo0kkR0skajKmADcIMdbpDW sWdIMOdjEY1VB5vvGoFDA9i4jq/8wS/y8h+9lGKNlUTnFwf85jv/EtZnyzG11RztRMGdB+Y596ff xNe+c+uaHsfp2ue/cSMXvPRN3LLnKGGqB5IT8o5J9iT5GFjWiqOuMaetIlcjE5TAdBdHbIPxiQFv ckrfxTyskTNajdK28nkP5eH3jufgDbDo8xAue9cN/JdP38H84PRnvp2ODarI2/7y77j4DR8DrZFu m3e02jlwcx/wr//4rykfAUxh87oZ3v3an+Vv3vXLPH7HejjSxwhgy9Py+7YVm4xXOSUEgs8SbDg+ rmPOiQEMlLe/6oWceOsv8+zTHM9+X/bHH/0Cf3v9XniwKWYngwnh2a96B2/6wGfoj2v+odv84oD/ /ief4bJf+SNY10E6mRETpEUMFf9DVt5Vq98rNK9pa6AZtSilyo322ZhHP4+1k1Ge/0svoy7P8v89 vEfSUreah23o6aTXFRJtoonE6DuLQl5wxR0LXHv7MS7Y1OOsdWce17phz2Fe9UdX8Nv/53ro2o3c 7u0CLyErnLKwRCAr2HuozxO3TnLReVvX/PhE4Lztm3jpZU/jsWfPcffeQ9x79zFrUm9udsOpGqeE OPUkuChjarVJ0iUCkln/oThmdWIIsxO8/oefxnv+3U9w2dN301njRuRk1921jx//z38Ok/n9p66C R4b+nCCQw19/5Sauv+UOLjp/B1vWn3l9/quuu41fffOf8fuf+IZRF4I1n9uRpilISqJVpSaqhlcl Hin5sxVve4v+OsmRokfo9FAyJLfRaSFGG6OkioTuHr3pi28/41/ebawwmvzOd65kuHjJQ5IZOeVN bKpKUEjKDjFNWYmRoCUR59koSN5Duj3TCagVFmt+8x9u4aeetYPdW06/N+2BbP/8Eu/+P3/Hr/3F t2y76GRNZUaaMe9pkSWHdequLlgvJScjN//Pl3DBtnWP6HEfXxzwjWtv4XV/8RW++tkbYSozBn6R mxBjHrBSeVjWX6silkJG9d5QtWEYJ0s4a5b3/tz3celTd7NzyyN7/LXCZa95K1+88V475+2Vv3L5 pZQqgc4AalPBGdZwouKNP38pP/3Dl3Le1kde+vrWew/zvz/+Bd74+38DO6egkzWFJnUlE/EGdXVp aVKlsP21tEalgM4kdDuEQZ/Y6RGmNxKLnkEzvSmr6lZ9AkJ9783IwTtQhgTJQYqr4l+9eWzNz+PF /X/nO1fKsG8OS1onOYnjpyNMEhiwYmfUEQnOR3MJNc204ToisbbXdSbsEQrnajF6zzJCpfzY49fx W5fvYNe2GSbztTs1tcINdx/ivV+8kf/yF9+GHtCRlqMafT8r+fv8t1Ripr3wUiRT2JxEyXnu+Vv4 6Cufz9xEZ82O+f5s3/EFrr72Nm655S7ec83dXHP7Ydi/AN0AuYyGTkT/8iUw24Od6/n3T9zBM3af zRMu3MkTzjlz6ghveOcn+Q/v+yKs751azzjFYTF6QtthpWsS1eb8Hejz6l98Lj/3gmeye9c5DzrL fDC2OKy4/a57ed37/pqP/dV3YDZHpjrN/ZAip2b2oU81SjIyo7XT/g6RsH4HUkyY2Gh3Dtm805wW ECUkN+h0UyUMFoiH70GGJ4x+cvzQVfrR//Bd6rDe8NUrpawuSQTDoEoclnZiHTwMIRDzLiqBDCu9 2kWqTaqkro3Qhu3eUg98qCcmyYvJ1Mj0JptqA6xW+rBAJkKm/NjmLpc/bj0/8j2bWT/dZXayeMhg 33y/4uRiyWduOswV1+zj/XefsN25o3DyGOHEMaIO3f1YKlhrDpItU6WwSEuaVFDSvzNrk6E7CTHj Ny/bxRte9LSHdx1Ow04sDamrioWlPjfde4STS0OOL/SpozIz0WVmssu5m2bZvG6akGdM9roUZ1gy +tNXfocXvO79MFOMoqfV7P4C/RRh4RtN+g4nB9DJeMmTzuF5lz6NF1y8m+npyYcsxhcV5heWOHr8 BJ/4yrf53Neu5WPX7IEC6FpngYoXXZrhH6MDDnoqZaHp+/PCghAIZ10E6zYRyIhTs2heOFUlY9X7 Qox+EmIFg0X08B1X6dte9l3qsF5/xZUsLlwiIaBFTggFsW+MZ5O1cO5H1oGQk3U6xMpSQKUysb/h gJDnFspGgeSwyJDelOfpoJ3p+wCL00gmi26iVLA0hP4Qali3fYJXnj/L+VtnWbdhkrM29Fg/kTPX K5jsZJR1ZKGM7Dsx5MD8gMOH57ln/wIfumfAVXsHQITCpvukiTRSnkCP7kP6C6CRmHftRuovIbqi EKAefyXWMb4oQ45m1ssXsw5ozjt+8kn8i2fteIQv2v9bduNd+9j9b//ItP9TCPRAq96rYimitwE9 I90wE8JLb+RpWRlhqYZKeMbTd/Lip+zk7B1b2bh5A1s2zLFtwwxT3S5FHlgclBxf6HPs5CL37D/C sUNHuH3PQf771bdx9O/vgdnClBaKzDFZO6jUlqktQcvR13GhRHGAXDHctnWcYXIWzn0qum4LonZv Ra3JCEmg6RSTtHESfPK5XsXrnvFd6rBe+9kr6c9fwnAIsSbkgZhUGRDr8RIxraysA5NTBhb2B6iW Hp7XNm0n75p6QMJJuxPWF4cgrKIaAF7x0CZ6DiqoVmjVNwnmomdyJkMfKVbVFiVVtW2JedcwnBB8 xHpmgVEnM1xnVa6dzVOBAFVFVg+IxYQNeYh95Mh+4oljWB7l6VXURkSPEBsHTlZYmlv0IJ+AhZzP vuIJXP7YRy/R8Uza/mMn2fZjvwXr8lGa2rZUwEiV1hQ1xdpv9uDKqgkbwnA4NbyxKfE3nDIdYaPD GvqVPYYKQ3HoobINrFdAIVYAKDJrcO9kPorNe2dd7UK19ozBixcx+qY8shAFKFFM5UKxiCwIqETo zcKO3eiGs5ZBIg9oklT9BcihM3mV/vpFY3NYY2W6h3wCupE4PIwMTsJACRKIHvqKXxPRkih9qEqk 00XrCqm99w1Xqhz0keEQmZhCJ9ehWQ/ByXCjWu8ys1DYw+ZYo2VEdWhyNypIsMWiMrSdtgAtAApQ JWQQg0/8DbWpDXQKQtaQK1b51o7PqSJFBy16jr0B2SQ6twOpKrS/QMgLn9kYm7eSrIvmHcccxG4O aoQSnVae995r+fq/fCJPP2+8cxDHbfuOnuBF/+ndMGMFgUarvh1liwnaxRWb2bLZNtEhiAYHGlE2 msGiKQVLjiDPLJrrZYj0rCKaUPwmYvJPCK3qHuK8KH9qq/o9QjgxZxaCH4qlqbFTQHcOCR3i0nEy amrEsND15yCbLkBm5wjkqCvLrm4PVAA7jQLZGth4Nd0HCxCHSFVa2qbBtaV9gGqdpqrYOHutF6iH S/Zi8SVYRyQImlkR13hXtV/M5eVxZSQFHGPdzBQEZ2CuAAAgAElEQVQ0MNXB+7rvGEXHSHbloBno qqq2UJwjFasB1mrSwgvyAu1NI0WPGAorNscRpyWRY00QzScE+TBN1QoyhekpyIUQOkAgxj4hadxr IGQ5WkcfHW7DaFVLiAVIh4vf+22+/jNP4unnPbKVt0er7T92kl95ywf58rV7YNoKESlNaszB66h6 yr6iaY9rC0O2X5icSfNSW7Mx3U61IKEmkOYO1u5XjNYRRLzGZP172tYBa1lMa8WPRzUiKig1muWE YgqtlpByCWZ3oOu3E3oz6PGDsHTU3O7ULKw/m9idS+96/84qRVSaNnQfoCoQpULGzMMas8M6CUOT SY4a/cKK5dcrLqBdvGpUNWzmp2UQAyHvELsTMDEDWYEsuzD+PrG0qdHamigdo1eAaqRWD7cjhKGB 4nHYOCPFe+nEIiKthxDVphgTsN642nCnKhKKLpJlvktXPvbb3ysEi5S8Fy99WwG0OwWhQ+UYL0sR DTaeSbU2pVa8SiR4GlEDBaHTIZaBi//gGr71S9/D9+z8/4+2/YOxowsDvu+17+CWW/c1zgp4iIGB jNZZemH6v2+QVK5+oIYnkk8TyiXbSLWkxqPj2gakSJowREadKbGqLLpSYaT2Ofr49jGnSmAWlJoO 0psjzKwj9ubMqQ5PQmcWOtPUeRdZvxXmNiGdSTTvoiGQJlJp+41XNUXTtCrXgNdoxFTy8U+CGm/z c10SqhqthhbteDm2wQtkNOUjef3GVEFdjzoI9CYJE7No17hUo5RMQStLw1wsUBwj0Lp2HCJaBbHT 8ZHpA7Qa2HqM7pwQ74zxY8QwBQ3R1RhrWxgR16SvTS6l6BIzMRJrXbtXsvHvWtemkpqFRhbaFktm ChYIEktUoimxqqB15UOGhNo5T1pXSOhCMBljO+B5nvSr7+fTr/sBvv/ix52Z6zlmu/3eQ5z/6++E g8dhKveb9D7wGqUptjTgdeI0YdGFpiIJQqhKtOgQN24jzGwm5h0IgkiBFF1CnhMHi3b9yqGpUExP I4MaWTxOPHgH2pskrtsGvRnC/CHi8X3o4rxtlg6DjI5HyCWnJkLIkWIKnZqB6fXI1EboTtiarqtW NOjqoVkHimCyS0GQaDTRU4aLLDsZjuf6ZGoDYAN2bwBj1sFKNlaHZUoKMsKSNFVkUihsOf0yAlxy WiFYFSWbIE5Mw8w6NF/JQ2pFaFXpTPK0WZoQv6oSigItumhVEog2UTqmGW3RU0BZTodCzUFBK6Ww HRdRI6wOhy4TY44lRXBRUoQY7LtmTrZM04KD+KTkQF1apbE5D4pRPZy7pnkO3Qk073l/nxi1Y7AI m6b4gf/+Gd764sO84se+93Qv16Pavn797Vz+Ox+Eg/PWPB6dQLlKL2Li+Klz/hpLG6MER6QMa1Sp 0XWb0C2PIcxtJ2YdJGmUOSIUoyL5lMNT1gqmEqAQdGozcW6LgehFx4bNTswhG7fBycPogXuQpXli XaYDBCCKohPTyMxW4tR6mFlnhR7xiElBCoMHyFLlMHixAEQc/RJ9gMgq8blsfYYqElPqlwoTqPm0 9DljsvE6LIJX+2pXqPRfhFFMnCoxinNNxCPx0IXeDPXUepiYQbOOBby6chfR0cJUp8QJqNg4KvMQ ilSeatUDK+W63pwkTaF2yN4cW+YLug2MWrgv6pXEUCHFrC3UHNOtr63CKdRWkaywCSUEo3NIYe9U l5iUSw5UxmZWLOUNvgN2e8jkHEE6VAJhME+cP0YoS/Ovec4vve0L3L73AG98+Y8+YjPwxml/8rmv 85Lf/Yhps/d8SYsiktuNuMwpxQZutKh79PvmT8c0DcyKsPVc4rYLYWLGKmyxtmXWrIfgG2F7gQSb mSnq12nSImSEoKZ3L505dOMMUszA3pvITh6ywjcRJEMnZ5D1Z8PENNKbQrIJ0sJUjxw1rRlG6Vpz xyRqxoMhA3jmYHBM7bSOdD8JkrlA5nezHlYcLELlXKXoeExrQnCT0otXR1LaVHQI0+tgZr21GYRi FXWHkUnugrHB6Q0pWomWtsVYeuuIWrXN6RLpotPCntqmKeQ6BZilaZFQQDpdc6qpxKMe4XkPVxZ9 qEZl6WishlBYqiidDiHkoJPOQatsl52YhTzHWnSEWoeEk8ehXCKLA2IcwnDJFDxnAm/65Dd50xdv 4arffDHP2L3zNK7ao8fuPTLP69/+Cd754ath2yRIZqk3oCEj1EPqFFMnRYaYKAOhSQWXdVKA44GR GDJk7ixky/nIxLSTlmFltL0CcnL0ogXma2yqjk3ah0XwKgpT62DdFuJgwY4lD4TuNKzbCpMbAEv1 Lc5vAV2y7FNPOZ5Vjuw+TGnUX0UdjrWfSchaMUCNxsEDvNcja+PFsMr+yHk0AYoaELlM9M2cVhBb iDIxg/am0JDb2O0kMHYfpuq7kTfgikRCvQRVHy37DQ6Q2hGS2mITndV1OrjRAYH9vM3jASwWTylu JEhOrCpCDKSpMCo+Ey7tkllhV6InxP4JqPo2EVszNO8SswzRjsnk1EO02zXgFyVKRSiX0EN7rIok Ae0vWHXTiwshy4hz01DWXPKbf8rv/7Nn8/MvfBZTa6wzdaZMgc9942Z+4X99nFvuPgjnzHnHA8T1 5xE2nmWjyfonkT03oNXAqCqxRkNBEha0SEdazqS97gLSm0Y27YSJOUv5RHhoAiftdXEfUY4CFOi6 s6zCV/u9UHSIRceccJYjFA/xsx+ahSA2wdurgoQwqmoHm9ZtsMUDu79H0sbrsLS2NA9aXBbAWbiN TC4RqSIxK9CJWZjZikzO2ImkYLkzOdWkoTf43laVaJVSszhyUCJAacB54t7EetRQmlozEi6iI0xM EFQsZW0iMwWpLa1QAwD8dZ5KNt8Xf5cSul2r9A0WzelUAzTr2iJKkYFkqAakjrB0HJ0/iCwcJRaF FRLqyiJEjyqiQkDRwrCZf/OOv+UjV9/CG376Mr7vieed1iU807bnWJ+3/s3d/Panvw0nc6SXeWSc o71JsrMeSywmgQBTm2B6I9KfR+YPowtHCBvORoseWg3R/glksISWSyT8Uau+/Xt6K6zfDjNzlr6t 7M97QPO7W3xtNDR1+2O09VnllyxDJ2eRLLfliFqFWe27jXb0R8K0iRwlBLR0x5WOrfbnREE4M/2q 92VjrhIaS8Xkjj2EDplHQrgUBhBzYi4wuwmZ2wKdSVRyfy0s3710xaUd/c/jmwbYJuRoJgStbRcG 29EkjigIPiFX66pJVYMfXySgeY7kOXUdffpPDQSkKJAg1Fluo8K1fZgrIrXWj8W5Oll30hZu2YcQ iZmJ5mmmSB0J1Un06L1kxw8S49B8fF0SJFCn9DVhgcvIkQLrenzutkN87jXv52UvuIhf+cffyxPP 3fLQr98ZtMP9mg9++R5eccUeI2WevQOZztF7h7BwlEAX3bILzbp2Y4ljMsUkdKZgdgeiFRoKxG9G tIZqYMUQgbhwEBbmLRWa24pMrIcsYzSLb/k6eyBT3+S0jiNntzKjayrZDn0oBO95jVqv+MwHgUWt elwrU8NTU0V1EF+b7MJ+n6nBEFGV4HMiv3sjLLBQs1ZjHGcF5B3IhJDPoFoTqyUyAtKbgbnNaKfb 6ESl+Gtk1muXIi4DCWunMyiS205pbOJgE4sDiA6c1a6Q9wj1EB0soTpwwN1wp9CdIHZ6aD4BqmTd GeqOteaEOvWa2QVVsYfUTnFQA9AbmkYEyTosX8HJpUbjafUm7XwE60UEoMpQLZFje+HwnWhWmINX INbU1Cwb+Z4aX0PuhQOv8hQ5bOnwtq/extv+9hZ+/tILeOWPPpPH79rOGgpVnLbdfbTPx755kH/z hXuttaVnjcBEYHYbYXIOjh9Ch4swvc2iofaNCK0b0KqHyzazrGeBrwghbAXvtNBuD81khY5i6z8S Wd05+MfFtIFFEgeKBDf4R7cB8aCChkAMlnOFkFlRZ7XPvh8TUXN0zqEymCNVs2vvsnChSLH10BSU mvUx2uQjuIQ0xFgi+XcxcdRwmAItfKRQ3vOeuRxmN1qEMOwTi0ljsudFgwP5O7DahTSipgGnWlaj fsMY7DMjTXUoBoEiR6K3aQQhqg34DNEVqgSk6KHrt9uk42A3RZSiOQLxse4NvJqA3DxvjjRZELF0 9pRDb5H2gk8+LlxSJGliOeuYskJCIErrpgoO6Dcpqy/aVhWsfbMKQDdDO4F3feVW3nXlHfzC08/m nzzvqTx79zlMnyG5mpU2qJW7jizx/q/u43evP8bS/NB77ULrPLpzL6Zg46SLMEhDsjzVVuJJKzBJ VeOwZR0jXGZdVrlAzfOXtXWttOgUmojDDRUJ/Bm9pf8j66yKvy7/5HZEdB/RUqJCqItUpohJnMuH QBaWvYPUcbTeVtukov8+BMNr6wFaj3c3GzMPq2c3DGrTaLMu0ukRe1PEomuOIsvRbNJvYFiRW5FE 79SB+RhrgkZjJDsTOdYJQB94ldZvYMeb1CfAiALlABksQd0n5jawVbIc8g6xO2lORL03TKM7C4tm 7N/OIWuOMJ3iFbt+c/xWBFAH+INHdKrW0iFik3qbgpaA1iUy7KOaG+4i6iTWwr+X5RtJYSBJ3koI owkxou7PzKFpLwdV/vDqO/nDK26Cczfwv/7R49n92J38w+/ZdUairhsOLfHNWw/zjm8d4a9vPWFO Ks9cOno18whazPkLy9cGgGKkYa0dTE5wg1iLiz0nmKCmZEhn2oZTSPD3s4hDGv1OWhQXGXkgMWgh pgGlMUKdWrFi81oJ9n6m+ODkYVFT32h8UYrCK2/hckeTKnmuTJvUZqgrZLho/+52qSX37+nYq0Co bbaBhsKoRJ6BkIVGr92qlhkSUpvawAmpEGIJ1dCc+hhtvLSG6XWmiuDTgmMoCN0JpOhZk2+6tZ3O cOruosQ42iFUBNL8tHSjpgVR14QQiZWH6M6fwqMdUUHLChkegRjQzgSyfofhHxgDWJrev1GsotI+ npGt7p5OfZb6ezfpmjrbOH2MgNYWOVibZR/6i2gsTRHCx7irQsiC02n8hpLWDUVyYBiY62VrSA7M z20ng63TsNDnFX/6dRheCbHmJ577WF7+fbvZvnkdGzbMcdb66Qd1je/LTpSRvUf7HDvW5+M3H+M/ X3MAjvXNWRQBpkY45v1Zm72trT+TJWkYicZ9MzWDYFUwx/hEa2sir/t27Sm8z9TeT4BAtHUlntZF XwvuuCQYETidchvqW+OI9Sjdb1Epmh5UjRYhaiDGAOI9g5Ib1SV9lxAIWYYS4eQR2HMDevQewuJh YqVOpu4ikxtgbithdhPSmyFOzqF5YU4U7PVJMQSHD1KFXLC2MnTUrZFUfOsKOt/FDks2nA3loi2o kDtPynZBbepvlpcvI/+hhiGk0BdGYbV3vzds+RyretRDE+irvdWgnSAJnkpkSGcD2usivTlT9axb Mh6S5IxX7OKJeRxLmoXgN0Pwnbnpa2x8rjLicUmDd9jO6scYGe26MVqUECu07Nux5bljEq5VFG0E lyhEDPwHbSIuvPfQvoKdO20WrJ27BhwOApMdmARixYevvp0Pf/5G6OU8fus0z942w8bN69m2eY5d 29Zz/tZ1rJvsMtHteJpj6WlZR+YHNffMl9x6uM89RwccO7LE7fMlf3m8goXKMKSshh6I1D7dJfhQ VaWJncRE5mId7cZNN39IJEpP0yQgUUabQNMjav9W8QGurj2Pem9fPTCVj9RontZJVGqfDZA2AJOf UesuUEU1+NOlCb5wxjmJRd4Csw0+GoH5UUuIAchAslFaj7jzFCiHxAP3wKE7iUfvJSwdd8wtG13D YYUM9sGxvTblKO8iE9OEmQ0ws8Uqp71ZG1ys0a95HK3rzFuEyqELEiiqVYOJjdvG2/xcTGCsWr9x mqZnWn/jN3l75/R+wzoiedKy9r5Dqwmbo5Bou0Q1tHA2vUVKD/x1UENRIHPbkKzTtOKkG2I57LAK bqYR4hBp+rqS44IoGSHLMI0id2I+vj16CqMNzjQS6xNvdA4e/WiWI7Emitg4p+4MuBaASCDkXYu+ gDgYQGWUSW365XyxLXO42vrZ6G9pvrs/V4LJH3dMi+n6Iwtcf+AEVPdY61EZTf9paQDnXACPfYK9 xqEbD1GsSTvQRLUI0HN18qpGUrStSupls3qH2vvleePcYwyE5qbOnO7hJZdYeRVQ0XqIVGoDW6Ot GUTQSmwimYpdD1XfNAb2tTOxz4rqjsWdS2ydK0mpZaoaa/NzEqhN69HC19qRlhHIxFNJ18BHMX0l EwPIFg4S77wGPXK3bb6SW69gtI0uOLHUqo8BE9yzjVrnDxOPHwK52Ta0qTlkZiNMb4eZjdDpuu6X 8a0ol9BygFYRa8NoHeeYbbwRlpeerXUmAaqrnZTWImggg0Soaz3Hfy91ZdiAB2KkFCBRDkI+SrEQ wvQ6tJjythhrAUq37oOyaIROk3vBOD6Ju5Xnrmibk+SNNQRnEJuTEsm8PzBlgcFvlCHRIzwpjCwa NIesg+ZKyHOIpa314Hw0tRmMhj+4sgCMHFATkUIzMVt8t20c9HIgN3HKQFwJ1iPAHLTnhMaokK8n nH0usdc1PGcZSL6a+edp9GuUW1pWlR6geZTto8C0LkdFl4jNi1EFMhvRHrImQk3a5qKVOaloDfbi 58JUqD268rUjTmI2Rc8cyEDFoqlo6V1TBQ7mJG0vTZsCI0cGjIiefl1Im0FsNfvTRKPpJcGjXqVC yZD5A9Q3/C0yWLRoXvJmjZBI0X69Gh/Z2leDk5lVK6IEwuI8nDhG0Juoix5hahaZ3kic24Z0JyEv iHVEkkimehFLxk80Hm+E5T1LlqbFVg/hChPDeGzXdNwpXagm2HFnFXJiqCwNyHKk20GqEvpq5FMH MMP0BsgmLNLJs2YGRjtsf6gWUUK0C63pZqqGDX6Rxn3bGjACqM3lK81ZBXMcMSoSa7vpRawUP+yj eYYGRYsc60N0JxmwqmOWW0+kdDytWJ7GpNPUfD1PE0nOtbkwaVdIN3jCYVzNUpNahZCRETF+WNiy C6bWNSnMAzN2YpOaSghochJp+G1St6jT3edYETSdAgZyl8TKfmfRXHAnpYgY9hQ0um5YIgGrF3JG zlpDZqsjRrRK02gyQ3ditD5QMjTz6I0Apbr0shBE7W3r2vXVvdsBi+Sac+vXt3FYSVXWMwlVk1rW LCMMF9Db/x4GR4lZz85BpKV2agWJ6FNPNXEXtX2p03VI69w2ASU3Zzx/hHjsALL3JpNnmt2ATG0w Imvo2fPzjIYuM0YbOw8LbwNo5tat/iS7aWtLGSQrjAKxzHy3DjlSTCB5x/g0vsAz6aK5mIRMb8bE 972fzBo6H8qFSAvgVFwq+vsFdNTqkGXeMZ8+x2/mODTHq1gvoWNhIsGitLr09MR0k3RQoiGYTLSm yKiyaKFOgL0aUOsTfJtz3D52xW7KkDkvTWk04xNPDLGbQloeLkbvSgDpTFjqoaCdLmFyPTqXyKcP 9lyeml6HPHfMpLbCQAK30/dQC+0sQqmWtXU1UUb6DhqJ6hr4Hv0Ete8VXaOsqdQBZAUxLwh+DWNV oVKipUmuaCzRKIBVjZuMOipQmaNJuGqqDvq51Hb7lrgSaFLfUG/nioBW3mKqyKBC770RXTwM2aQr QKx+fsVbaVbDWEdmK3B53GvX3fb/ElmcJy4dI3AXOjGLzG0hzGykTsqone7D2MrXzsas1gA2vnx5 60xagamqhailebG0G7McQjFEiwkkayeFrqCAp1sSbfFJTj05RQhT5CEQJfc2g7js8+77KJcfF1ot r6ylVMtJd177a16n0SqVZF1wpjWIZRxJQDBWrmyKN4HXBv6KQunpTR2NBJvSOCBVeKj69nFlBeWC 5cJZGIGlqSjRnbD5c/0lKBedDhEIwyU7dk+bzfm50GFy7J0JKKbQTg9m16O9Wa+eYny2kHb++zuf 7fMa0KoixNLIjn7Piugo4l3RvxfrSKC0kVSJlR1bjktayhnqKXhMQa6X7jU2lI9I0n/CU6rMcMJo 7WAq+Gbg1bpQQG8CyA0n7HaBaHSYyt8zmKqBqW1Y1NU4kjRVwsmdiXGfiMaoJRuKwp7vwP57kCwb neNo/Y/t4RIiwWcCRDQbKeKmpTkqXaafaTNGThqopEbJUDGXEAEWT8DJox7RZ3YceSfVPcdiY46w Wjn+MrObPcTShlJIRKsh+I6qdW2j04dLaNa1ipG3yIzwgBQRiFdv7WLUyz5jtX+vtJXP01ElLw79 1+nmSWRQ3+WDvTYEcax25MTQ2mcp+r+HizQSo0GdG5OKC5X1FNbexjTKXwFr2REJaOaL1qtSkk8i 0xuI3Rmk0yWEjJgXaFaY5HK5CFlORqDefxssHHH8rkXdUIywOzELs1sJU7MQCmoRz77SEkpp5YNx Vm6xhHLJpKbrEXNctfaIpMmfl78MSJI/TW9ljEhMeJ3Td6V1k+IyRhGvxjmgbFfI3zipzVqV2ugl juOArTNJqrOOISaQXRnNklRvAKuGNNSHJGOT+fqsxauULAuIDCOrkMVj6JF7kY40zAjS2gpeedZI 8BTdp004qjJKxi3jTK1Z9lMRG6ln50oJIRtF/+1TLYLmrnKrNkpPHuSlfaRszM3Pq6WBClI3C0Cq IcoQqrops9oiicDAQOe6gCpzhrJCyAieQjbVN8nRUPmF9ZQn8VBWM/GBrIrNSExHl5Qd0w6edsvk yDQ6rjC68tF3eqR2QBhftNjz6gqJGcSh8cWI9posN4nmyipc9vYjxrt9ljenhgxijqo70YlpZO5s ZGad3dRkTT+h6TQF6M54LCjI5p1opwOLRwnlEJ2cNbZ3WRG6XWJ3FpmcG00iOoUfNSrD38fFZtTO kkNVWlTYTH+JhDpaeV8jIdESEhqWIhAAMoJaWtUUlhuip0WGI8zIKoamlWYOTMT19ZuqaLSiRUNb cdQnGMBtu4217EjWaaK1SPDXeClUHDdTaOSSfEOS5PyrdDZMvNEO3XHZBnwKsDhPqKPJ4yQByeBR qUdlREUzd05xxCtMkGli/Ud3dMmx1mjrs1L6HGwNhbRGrHfW5hJKo2pxymU/wzZ+DAtYtiMnrKe2 MUqajXaYCI3CoqVH2Ly/0EGLwvrIYo3Goe12ZWVZQQjeL5Y5vck/L4HO93lY9rvorT2rm9CAx74A LOopWFYKjsPR91Q1bCY6sFwPfQjs0JQkPBXWvGs7fF2mdbQ8dmlFkv+3vXfrtS1L0oO+iDHnWmvv c7KyqrLK1ba7GroREkJAY1ogxE+Ah35APCDhB4SFEW9IgPgB4AcMzwgZGtHYr5YxQpYRNiDoBtNu 05eyu1zXrktW3k/mue2911pzjOAhvogx5tr7nMyqrMydVq5I7dxnr8u8jDlGjLh88YXBvBFtmTzw /eU/DVw+RLWBBvp0zIcEg82X0Nd+CXj4FdjT92AXO+DBa5BqMHJ5myiPZetLOD3uiweU2dsKHG4I w+hWSctxab7IGIi2WKxDLK4x7iRiGTRvrXa9Ga3iEgDaedPTZaJGsag/LYGbGsbGPAbpZIBekdHY /APwKgSFB/Nb86SLVXKqVUIpjPeQQqs/Lcq4NSL2W4U9ex9pqTOgDnEoR2aOi57EHf0ZOUzGLc6A vawa8qLl1O+1rbrea5Q5ZOnjLvz8CwqSPhW5Z4Ul/ceau34RaG3mymjawdoFdzvGu2xxdgW3d/31 IYMl+z1kWdwaEDiVDNxlanQZRWPfPbEI1JkaMhYTE4EB9MDh5MMzc5ck09mLFy4r2Uwz8E3YgRRg OdAkX0geeAD2e7cuIJD5Apg37uKWnbNVlga53gMfvAU5PPNrYicelQlWLoCHX4V88auemgavLa3Y lwfCg2UVFw/c0hJx1gB1CzEthJ8qORFSfeE0X4w4Hhn7irpLd/Mt6HvEM3PQcE9BJSKZSXVTYaFx E8+mse0ZZWAbtY4Z6YpeCKeRCVaYBRueuZh56GHjzCBmBhyOwKQZI5Xl2isVliOkHTzREpY5QwQ+ lfzeRAKGMICGhYoljNCn76A9f4RoN2YSisnhMIElS2uKtySCgfPNt2Z3MBrWpUXIrLsrMyHzUZqE iNBK8t7TysU9M9bes8KKnd980gVZHoGF4ZLlpq2xkyhk3q6OFHV81tStm6JAmYCi0JnxBfCRBTL6 jiYFyRQaO3xaIbFQ6TIkYh4M+jKTBE5YABnsHKU1SNmiTTvHoV0KFMU5mZbn3gx2+wpkInq5Ecls FbpTYPMQ9sYf0qpidqlsYA+/AHntT8DmTS7IrHPM6375s2hhPdHty8z5KiLy04vRVTIjarst/JsW VFL5cB5Id2dOgUW50MWvK91wtNtG5InFsH5d/D4ZsM65mKUAPgd0niDT7NlYNAAVuiz92gFYXaDN 8j57927yYGUYA0O94DCvzP82KShPX0d741sevwWpjERJiU2SPS0D8Jmcqtpd6EDbx7k8Ljm4jKaM qZHZwRcFL4chFNKWa4kETOXmfp/21X0rLCk5DYOy2N03Uh4HwR4bMgQjaExaN2uJoYkyB5mcUWFj 2UIpE7lC9Ldv3Ri33FwgmU2x4cf/zrhNFBVXLmZS2HiwNY5RafFRqRivY5ogmx1kmgE40E8MwGYH 6Gs+3yBISnGY43/Ei6Gx20F+4Z+EPX8MubmB96ibgVe+DMyXDn78KFaQBE8Tkr00JnvfjX8WayqO z2snQNeM2KNakVzpoWxi0QwpeSddpOIQbiwyPrfGawWVwonLxRKd8RXaykgFGCUtAged5qKNxH/1 Zgx1j070OFqDtKotspqumIRlY8nrJpyLhlTMFnFRstNKM8jhOezNP4Ydjt7VO3pWjvWS4T9GLJY8 8Vabd18Cx1EllbRVuoJxnFBcYNyPbmJ0CfLLGlQDs4me8Ck/47b185H7BY62I3dbVwCq7vI1qD/k aXIAnhZg1fev7yJO2xGmgHgjUoB+PODKKCyxoqAAACAASURBVNVimt6rBUkFc3J1GHE0IiC2irEn MPtilu5SBolb64FtmuVWipdAzFuYOsGcRVZLDI5x8DPnHkaz3a+G1ts0wb7wVejFl2BXN8CsXtg6 7dL6/HBLSHzcpHUFkNlVQW9A+1Gn5l2KrZEYsSKa1TozQYHsZg+6two7HvzjhU0bgn1jdE0SoxcK zRVSMm9UGe6bi9NCwXU1lYFtW9Kw94UdSZU05dlEhFlFHLOprzNft15ixP2txsYlrkB9KnSMWPdE eaYo2me9osFgj74H3DzxulprsEILaASdcl4bjCVfirqww3kTxF5j+SyZsUxlxHkZ91zHjVl93L3L CwJ+E1lXK+pZznuU+7WwDteeISsKkblrbyqJwK+kZRVgyAyI+u4FxDO11Xtp+oepnI0kYiEMi+PU cwozPpkiEJUcboGwG8pIIyIiKNOMeti7e7WbAd0AEMhmM9C/WD8PANy54Ltl5+6f8xJJUM+UCfLg QabgPXH9YZm6UaK4eLhxAW5bKh8moxV68s1qHm+ZXCmgzKmAckGFkcMSoyh9AcCA8KCsMljeLezx KvLFwRVXdgT3jQXwpA6zYYGMBwntIhgNuOvVkK5+uHkFvjE14+JG54S38X8noYQe8477G98X6OE5 cPXIoSkIFxB5HBF1WIoRelEKrJIZVwSllHTpJaEXfpqoueS7nENuoabStyi78dhi4i1oXVluZJ9j tgZMSkxMmK7kLhoXqwja4QoQuD9PfxrstJNBzHZENLb0NCy8Zk+QxP6ZyAPQpp5hcRO69IlOEx8G pyCJjGWgpoduzZrtlsQZFMoMbBxkh2nrQD4AIlNfbz2Qcfe4iOOvvJi7x/WErkvu0uHB8Pwf3SLq X+7fuHP5f7hI7bWgwyES5b+Z89AZd6l0Ax2i75YMMWhBi920uWIJbEDGsjDEiChByoh+HqNLlBlh zW+vN6UGoPiX1cJiWgDEM1/S2AYiYS3szhNu58TPd2YPN/IcF2gDqBgSbciE5/SMqb3zfcihQqCu uKVftwOh3YIM6MaqD4EIoQrG9dH6Vagkcl4jzNCCzqYPw8AC2Q3ttL75KhH8n98sYWj+YAhtFZ2V zBAUMtq8Dizq7WCNlfV0IAzEjQisLIj6KqjjR8KFy109smcyeZmP+TVMpWCJGMMQKF3Z9aOY9W4q RZziFsXZR9WD/6KEadjggn6IRNPUzhwqzhbJyais5j+N53/6MlxAUKDc+RkqqgBP1gO51Cukedfs eovzDP5vGTnIfG7YcEyM/+KG41AAj/2IKjN3tjrsysAZrHHnV1ug5H8Sc4jMrbFOXBLxgHDLu1td PiYYwhGC9akBoBVBefwBcPUMKJpwjX6p/R5qdKYeY3OGBBKvMqjxGVpakTWMZyJUTD1suLYIY4Nn 5ZhvzjYkPe5J7rc0Z2lUQMamOUe3LKbJ27pXABDY8RpaI1NBd6Atnb41Mj0GYOnWiKEBqlCd+sMB p8+igCzebVcEWgoWzFARZLxIgSBr8wdbGG+yvosXuJXYHLAoIk6bIwIpBtj0AjVlcPqQk50O3PW9 otkV3oyEU3hmZ+xz95LxNW/UGu3R2hSuDyDt5/Hoxe9PAEGFHa/ROaoIMD06gFKVbuCxAnYg59UC a80LmMU3nFgP2qiUFTDzhMsqHjXIqidlERrtXJABQ5G1IjSllVINUC+7aaJwqMLiWCfzmsK7xtpz M1QGgyJV2aDZAYYGxZwYqAa3rOspKqAp6uHo5UZVfLONImO6e61WJgU8zpnwAjI+iKrTyzDYbkwA hUvpAX+Pr6kBrRTv7tQxFwhGjHRBI+kahpsI2thb4J7knrOE4MPwWIqVySexuZKQo9fNGQfSakXU jFnEoSIASjdLsLhvD6H71DxwqQOqPhgj1Z+giDk+S6Mo1ZhtA7E+R25aAkwFJozFqFOPQDwYKVqg mws2vQz34EVmEB3fetsyESzOFlAKMG0yQ9NaA5aDexMv4AIfj2L1ADtQiejk3PWTN/G4e+n/7BJW THYGtqNbzgH+VEd2C3FSEqR6Nvhbd16Px5bMT+KvnLB6pDsskVFTGBs4CI64WwZbJ/Ud4zoAMu75 wutaH2f8pAQNy+B9dvzVybdvHsOevgW7M1niykQZrrDWcq2knUNF3GJ9wKjcgvPNM+giwhZ0p7ck d97iyoZlSMsTWZ/nLGHQwy6RQWJWhSR8ydBAsFo8cmsxQYSpW+4gACmDSwd4wtO+SUpHPAsP5b9Y j6biSkFaA2yBNI8xLKFEUYBWIKh+TZUdWMoMbLY03Qncw9RjAHeJwHe+BrfUzF1gbfDjWiUPk0Hm HRwCsofAXzO7AaYZWmZY7LQMlAYnl6ehxRVuPQJHgc1HyOYCNoOp64aoufPNtrtekUrL6wgIAMtD TKXXslHBmwqVkWUDWhWDLT3+IgEPWOmDEyWUYb72AqR+Xna6gUE944maujqO47/4hYgHWWCLJB4I ugPE+RduakAUcOLWGWCEHjgzyJDZDdN5yCb2i1doM+D5E8jhhtZvHNg/qbHJxlhEkDzHSz2pMc9u 4UYCipaThRVF6mylgZB9NePaWiPFkw54NbqxBnoCDJ3cyqZ/unK/FtZSfSFVN8OtAFIKFDNaEPGH MHiZFB5wmtvMDvJhuYvJrKJZFqpm/ITHghmUaf0GX2B2pBtQG1o7wpZgwKxQVUgRtOUIoEA3M9o8 +/n4008xTs0X7EcZJ2te92jNLUy+h1aHUphArfM7bEIAMkt2lmO6zccDcLwh08CSmUxYgy1HtOMC 7DawzSVJ7yImSKYG4uNE1M+7eIfusARzs5iD5qe7awB3Yusv2wgK5T2LmVtKdDc62DaOEQdjTGZw V7pOkRwPC5K/k/GX6ucPVz6KrN3KDDuC7pDG9cV1tH6yBGryn/kP59TqyaKIsb3g0VsofYM9fw94 /w3IJAG5IpGjIJqq9OuRAVvFqo62+BjqlC5sxlwFaTGmY1HbcN/xOf9JZR/PgIozwaLx/PB5dgmr 41vacnRcDkiWZnBOp4VUw63xWQTY0DofN4YHENYW0HfLRsCfKtxCMm6YmrxRUtQ5qwAEzbK0I9+v gC1orUFtB8wXwHaGXewgiJINbxogGM5/S/yBC4bdlgyYqYiWhTV0HqwV7qhqlfgfW2eHrAJVOpmB CLsFiUMolj3A2kSvO/b0vLQF0IeAqGc1IzGRHV6ax0LgrpuRhheNZHRavCiWzBBZPoKTpL0p7zEA tTy8GdUtR2a0sBKy0OvfkrMrFFbg1+K558IOqulBmrNduPUMt5zN6D4qrZY4LqdRbBJgEbv7Q4ir 7Mkb5IJPRQEqo1AaEjACPnsxh/NcP4I8fexzCz7/wE02s6mwTljI5hcl5zuBs8VR+AH3ybpB41ym ohdaT/namBHPed/6ffFOJJRUgJ+tq7v7kHtmazj6zl4PcKoRQat06axBcKQZT3YE8ZiTu4JT7jar mjELk5iuhDAY3sStETPHsESvNfPoot0KdTRgCcYGLuYGQLxvHVgMC7glsvIR7hKypibSOY5bI4vY /NYMLG41Zv0PsOMNjDubSpST8D6DsypqHWl5YiqwOpZVBIiR4NvDlY9P2UOn7UAo5wrdGhdcQhBo ycTiNYHWBZiNkB1aFzUSAoZgZzDrYEdPZAiD7UNlAL/fAjhg4OYS5U4vWSZcfF4dccfniOp3ZcOK gVjUugo05RzKv1MBnlpNrkyEcc/Vd4ozb3jiQIC2B26uXNkfnkIev422HLzWcCo+HlZzww0F0kIl d32JtlRIUY4Tn1F2LUcG3j0JZIl+j4YTFmDWVFgt14xEOdwgxt01Om52yMT9yP1mCWv1Vuzt6Kjo Bg9yxzbGXb3z/wDhshgVljH3qhJ0uT5z1IB2dIUoat0tAYAyOwdQLCIA4XIIMVtguQTE4Qqy2aJd XEIefAE2X7Jl0ousqVGYUQTdHlptQoXl/fIM0RcOgN9zkMwJmUjZdMF0A5u2Ht8IxTe4WgDQFrod Vnu1fZRnxH22I7AAUgtsWbprSOtNlVX/zdAi6wRzpV8NkAWoM9py9OcT2XQCaLkquneVbl0sSQyu Ys6IfG/wSvK9W8M93E9/iDF3eOYIFYj0KgmWahmal62U6LRU8zL7MXR13iDO82ENqxykXwFwvEF5 +gT12XvA4QaqBfVwDRz3rqCLQHQDiGFBpVXHYHbE4uKH19HjVnG71g2eZe8hhcB3RQyzeTd1IDZw 9HkiwwFHq9AwMJei3/dotb6QteTTkftVWAcnSDNrvsNX7jIGRIt4GSe9ACC3E9AI2yne6EHhPNiM O7VaoUfnWzKZWVLAB7YcTrIsAqBA1TNr3Kr8kc4TMM3AvPMehbrBut35h90kYRbNgOMBdrihJdN/ VrE6Xk1nIj3SDfSu1DK5NdqildZKwq0Li4MKn6Z8LCwDnF2gLt4YU45MV9MFMnOmCxID5jav2ic0 2LyhHgFzK0HiviJOwmSJmfamGOZ1b+4iWbr5iR8Kp5JMpqdjwwN6rMdX9MnaoxJsy8py8hS9v7bO khqkaR4350T8xL3HWJPmxuh6ObpAvBHqox9C3/w2qjWv2iBHVZIMssIMSotsoAXv8S/kfXdVEu4l kG3swHhXa2hUVhJKKYxpYGglhm5ZZSw3hzxdx2xZB/iz4sILHbZONX76cr+NVJNKUfpOGeOb1L53 2DHcPbUUkqj5MUTZ0QVw0Ob0EG1/BWniYM7lQJR8PLSW8QrAgGWNEvb14DEw0wmYL5wz/sRsfrFw AbYGO+6Bw7XHj2rrLqEFhKIvTIm4Tas+4UixLKaMGVERnCqsLCp2sKU2IJMV3AAg3eJzd9txaGJD bzvA41ZK11mKd7/Wie6OF3urFp/bzQnqpB7RdEr+rA7cpCKrhjTFxphUKCkW8EaxdLcG7h7ZPmBc cSsKoJOJk88Z+Tu7Xt/aMCa/ZzQE+6owi9Ckr/JJKuyDtwGoW/Tv/hAw6SVYylk0KIboYJ66EfGM +rO/826tK5q43tba6vrXdpP4uKszPgypgDtFqMiiV0Bu2Ek+SYWoeOlxPmm5Z3qZAX8jwsC3pbJK JsaUvjMI3SMR57GGsNU3F5SqQiq7/aqXh9hyw16Gs8d44Ah6gQK6gVSD4sZ5qXTOByfz1ruIlC1w olzuFHFaEbHFM6HLAViuvH1Vo+sBukIMpJs1iDLg2givMINab2PvRcSAFe/I4puhf19V3HVzlKiP qhFcm9go0CAxJyhFy5iPacQBhzE3g2HjFgGralUEohOhIAoVQ+U5sn6tVWCavPZtCYZWccurHiHS C697HBAeYxljUKNCGZVXLqy41sFM4CX0OLp/TkUhZYtaayr6IGUUOBg32CCcWCOwfLMr9zhfUVfQ AizX18Bb30UYet6ktcNmDMZHMVxjeAkS1Rf9/qPHYRvwdRHX9Mei/fPF6wX9sifvChSbq8TY3e2+ abWxIs6VrfZnrtYNqaEiarD67k/uV2FlTAHAuAeEQuLrtyTmr3GRoAGFRZpM3zeb0Jo6i0FhPdvF q5C5OePBhvQdN86VjqKwywlolyj7G9TWemp92jlQ80XXkyL5EWl7t6pac+72tkAW6+6PGUQWKqJo N+VA2US+A/75LGQ1j/fVQiBm3+7aQkxbE0AWt6oMtLjQd/gc+rq6FWkLoj1X0KKgENAr5B7jtaf7 EBxUsdhEPADcDCJbWCXYkTGz3J5YxN6nPy9wFVv5CGLjQPXxj1sdEvVe/BzxTYYdInvZrHmdKgCI IZhgfNEDZf8UrRpw8wTWFpRXvoq6fw597wdoWty9FmWD3P6zgtIY/9cMYz23e9oD7m3UEEC3cOJV KqOMdxXnlW9LhY3xQ+nKML2GYFoYLb44HZNRqExenFx2Uo2flA592nK/MawGmEXNlweZPc5ZVnEM d2PAWnoB7AAc97B6hELQsAGsEIAZy6JC5wuIKBoVA6YdMAtkewmZZrTlAMwP0ONiRL2XK2D/zM9b F4cFxCR+6R7TAHGAopL73UgupzDnVorPjd1awuUTvmWNgXnLuFDQkfgiU7eipsI1Hk05XQlEEa+f f3C9BuaJfAa0SrywWzMBkHEfbYAsUJ3RIA4AjTiOARJ1m0JLZlK05Qg7NidQNG+jZZhoVYS5ELES Km+6uelCUtJdGyztiN3k/Dj9LNyySohDaxDEGE9uwZCYDsyqWdbhiSsJO0Cvr2DPH8M+eIubq3e3 qe//xJVEkE0WL/0SkdwIhB5DJuP6RTL22nmuGpWPkYMqwSEqaAUsD/LnLKJutaqQz97jlqbwdZPW HfI6EioxWtph+QnjbGbA0kt9wMyniKWZ5zWzn2uX0Dg/zHE9E7sjs3tJx4YMuzHrxNAq5OY5WvBw iwKLV9n7ob0GzzYzPCjcUhFauA/zhTd6kEBIO7ASDxWy3ZLlQSBli7tLJ+64IyqOVoM3a4g7jOlv 2OAOREW/vxO86amERqUjYA3lkTCDeLGbUQmYHTKHL4oFdbesg1NhdJe40KQe/b2yYc1ZccaKbM/m 469TKJ2ZCzUC/XCrK0fQ/JktPZ4V6fSPshhSUZitlNQqmBxuowbWKlgjXFnqoBgDg4cIUKtAH70N e/s7kNZQdSYrh9+B1SVxTakZ4IqnNzmJ61qPeyYtAuyqwRgSJo10a3qcO/nbN09RyQoJa+30NHkf djL/8mMmCYQzdBdaRPq4iPQ38pP3K/dbmjNNIJeG7yo0wW1htivwT8ogtHiRsTZzOEBjPKI1tjgn WZ4UyATIVNDmLbJvHSafwFH3hnANPMbRTHnMCdju+sNNk/1FKV2PMwmAItq7knCnWt90N7fj3ACV VuCvwucFuNuToC136ubZuThHHCvMdlp6K5Q4MCiMcYLG+uiLX8lAauO3rMHbmtESsG0sX7qzJK/T KUGQaCxpUqOVE+4kF7UUbvLNG4YoA9zJxom871XskG92vnGcjLOs/mUiWYydKtNCeVSOhQGisLZA nz6CPXoTBnLz98QZnP0WzPz1DQoQ30Sp/FxXkjUinhkkrdgEOUveENcBZ9LQDVo4HxL5Hm5f0kwP 2io3QU3AbRpXJ2h2yfkVZVPD5MiawR7ny/jbPcr9uoQXl8AhYh7DotECTOYlJkzT+s5Vgf3BoQcy weadf68JlPAIzFvoxUM03QDT1hVhAEwj2DpF9KIAJGILQF2b4BZZYJKUzKVBPnXXLiPm7oLB41EI W2dQEBHjGb8fEygCzXHOVFhxzScaJ3Bbi3lz1ow3eLv07ALDnTwuu9PVDAoRDNbG8QEWBijR/oVJ jcZIrDirawHBpSUVuh/yCI+D8T7C6LGGZkunQ1mNAV3c47Fr0BxB7QpuFdsxglhjIZ0s2tP4zbhR +NVCUVCkoi43/trVU+j7r8OeP0E0NWlhtfLWM8YVFrFwDGi7G5onJbgphnfuzwXdU4gM+ahB4iQ5 Zl2NCC3u2CRMWbURFl0d5ojAwyuRaY+JE/PE0DOx+djDWvfAS09CjJax/BQZ8k9G7tfCOjYuKINE C65xxyozXQlLOhK0o+/UmHyiC8saytZRw9POqYh1AxP1QgZOrMyapGVDczn+EvF6PutWh0v/7Nr2 HhcBrUNrPTBpip4JPf066+3CVYypqYrsYjwoLiVmJzokwxpUq3fdkdKtqypQtB7YjsUr0okAAUdB w1wH5YbhY+RZSRBwy+OrwkgPKuatrHyxLq640lIs/R6Ijm4NSYBoMS50awCPxciOrcTaEZ0+uCDb eI1W52oSUbF1X4flQxN6Q9gxmC0+Z8S7z9j1U9j7P/KFebz2DVEMxo466+cmgyWLE/fP545G0F1j XoUJ24P6ADIN10uJhntIRRjWEucLVYczj/Y5HFaUX1w0RW0ej4wZOBy+z/16cnzATOlLjJAOf9di A7lH+QwUP3uKu9EtFJZr6DTBjMG/uniWTeFQhs2lu21TAXQLbAqfxgxsd2QaNZgtnWZZdbCSRhly VUPwFxg27e53rL8q66C2QzIYSE4L4fb5QDctGhlkjSSoNGNxChinmLDeJd1tyEYdQt570uk4FzkD zfDAvYRFAhDr1NzFLhs3EA5HntsXqiuWcO3QXTsNqhfpFQT8rMd1ALPqC7eRkxxYBdIVzEROU6bq DQJsDDg4RCILo6Oo1x/QyfivLUZRdcCmKFCPKMc97Opd2O4VyO7LvO4b4Ol7EDu4cnr2AXB47oeZ Sm/MStBtQhny2UVHodqVWDybUDQINRmU1i03xLB4k94sZ1V//r4p+IbhMd2+eVozaIk6U35buYlE jCosMyF7nMZzdPe3xTWKYeBk5VBKDq1J3Gvjcxtxivcj906RLFCCE+HKagg0J/Gb7ODDVSEPv4g6 XdAcBtQKGjMdutnR7bG125OI4rsUyMeU8QHmJAfnX58K66U2XEuY5uTVsmHSpzWEnpKPXd6/2/kT WqsspLde9sOgv4nCdg8gF68mMyrMgN2rKLsL2HHvLsTNU+D9N9liHWzoIUDdu6OrM8wKY00LxPom YMLKg3bkQonGIRHIZiUBmTwdw0oMXW4SBXJ099OCsyzGV3ph82pUiQfzzyjK8Qr2/o/QHj9CO+xh OLoFvnsN8vAS7eYp8Ph9mHrG0Gt7nco44kQRzE7rJ4L3edre3j3wUJEgym1K1hDQLN2hImYPGrf2 VnckqXi8brCksmvgRk5FagCrQ5hYaI0WMS3lIAMEoMEE0hoUwV3vFtPaZ4hNkdacjZvv/VpXwH3H sKxjnWRhm6yM47jF1cDmkdMM2X4R2F66/14XyFJ98ZSZBc2x03EnUFbkB8/rJyGqiScLKhijDZ6x JCB3KTGFDcDJtJrKaAFqujBR19XCTcpIiAy6i8eu0c2nMa9qaKIor3wZ9ctfg+iFK7LElLHRx3Th i2b3ADjeAB+84ZZBAxQFxh3b+c2DDUJdSUVQNpSJIMtXgpnBpaKZOWhxuQbaTIJF0F0RuvETzIhf I0tEtB0TFoAnPk5oYe6fA/vnkP0N6s0TYP+MRIIco7rAnr0Fec6NIrjWJcbXABOyccaEZEIgEO5J NSzpfvmv1v/OiAPPI2FB+9+5tYnPDkSLrtHNhPOH+cdmOmUtv9i7lru15lO+odWwgjz+JFFsWGZv INx8nYjtETWQIxZvVSLYDE0I8YGsMpuf66C7u3rcLUgx7DPMFY4EHasBmBRtu/GSmxY7gfguUrZA GVLcd8U68kA/Lxn2pYhDmTmieuQRlzu/wQUqNMGpoCLoOnQPfvG12wted+Vo5QL44mvA5gI2X0A2 F079a71ebfxuQ4O0GfLq19D2N8D1UyY0FpjR5bZQknRThkB3/pvxGpWKZt2dEziNdSPlsCHIEg1G JL2E6y6KkTuc794afl0W4NGPgEdvwNg6Hsb41NBBSEKJWrhtedPrBbiaN6cuPd14VlSIBSfZrdHn YajQ5PQ4/QYGTOidZxyM8LRvcorfccT+PfHyqHnjG0obAvzGcU8G3hPbP2JwscFSSSf1zD3LPbuE GyeHq2y22QCIeWq41mH3BpptnPiwNA+IgojhMnm8ioh3QbSS4jncmf9krt/CQhCm/K23qAdpcuqe 1hddAJLEedmH1/CNVqXfMN0cMyS1TjaXdaUeHar9OqgcOW6ymSGvfhXypa8B4o0x9HhAvXoMbC8g i0GOR9iF0+S4kacAqgNpX/s67PqxL7aba8izxzCQ3HARt3a/8BVfkk/fh9gSNp67IxrdlAeFFvdi 5niu4kpayOkFwN1SgI1Bmtc3Bi8Y40puiRTI9XuQN76Ftr+ieyTwpAA3s4nAzOpUPEkjw+xXJBjj mmGtW1cGZCflMNQYH0uaoSy56co6G91QSXmhuYcoNOhZEm+1Lu42KEQrYHPGmEJo3yLa4ImZU/sA fjyBrwPypuHi0pNQZCuBTm6bHW/SEAiMVShAtxuGukSJOLAXq3tWsfqcv0e5V4WlUpzYPiwKkYwN QNkqC+JF0kWBQA5DAdkCk/SSCogriDu3nk/KH4zD8/pbhdmN715LhSwHf8jJVYXVLt7jBegu1CiO EBygCfH5O7bZwQ1u8wPo5ZdgKKRHvkE73qBsLtA2l36caYIIlWAeiAHiaYI9fA1aLtAe3MAePoHs r4HjgZtHhV28At294o1Sr95F50AZEOO3xsitYjFD1LwlpxcTEKh7oDIzHJcWAEm/RIgt0PdeR9tf JX3OGDFKPB/Hw08/+D7GDW7AyUnRnlUNF5XXtzKSLP+Xf46PZRjJLHEKSMLqmxl/HIboTqtZ+usW yH5ZK9vhk00BmSbozbXXls5bABWyP6LXX/p92cn8GY9lhOkg3Fswk/xJr6UPkftla5gEWIzsBc4w YJyoThZGipBSWP7Q2JzBAaBa1H33nDEjm9KnIARnxg6YQfcGxl9oisdOZoaeAOAEMSD4x92oso5T MueXH2u7IoCTC4olKgCgzdDmGdg+hM1bwBa3YuoRVivqXNyS0shE5o3AXZi43qmXfugWunkN7YGz lgZHlltmBrv4AnB4Dj1e0VWsBAOHNYTV+jMApl7zKMLsfqvQFpYj3FKgtjIz3+GjHAiAXj9GPTxl O3diwPJWwiKT8GfW1zBincwg2rsaNb4+xqiSxQCywkV1/SuQwpBGBOqTJdQ/6MkGfi/qGCN8RAUi gFuUbt5lzCyf9ShxcJEeelBBK5NXGRwW2PHaP1smxy0ue88WChJ2o2yQegt8mnMioDp+ThsTHPck 9wxrcLgCpHacTjOWnChRxeJt3sNFEtBl4s6ogmjl1OXT2QWEIE2LbFZd0oVg8/K8lkhD+7xQBFkc spOCL7ssdA5q6MB0xURhe6q0jMIyATz7Vybo9oHHntrRC70bHERbNv7Z3FrHcfLjtIV4N3HXT0Ud JxXpfZOk9TYIZPcAdnWBdvPErWPrC8AQtCxAKMXMgho8YN66a+NsFOxhne5YrBinE7LjAXjvLUzH hhrWOIZV1SyzzidPKx4aMoMXWufWCdRc9gAAIABJREFUGpSTBWzIlmxBqZMGs7v7KkKw5fA9xjWj FKzFkA/DriLseE03Er6B3zp/3kOEO4x7Hjt4A6jWAJ3QjkdfTzCy6hLSIm34vs+34KNvI2Yvxgld Kd+vXdXlnvsSwhkNojbMXwWCdMcATEo3EV0ZCJBdgXOSdI73n210uejTkokLCOtjHZNZ30j8Vrp1 DJizrAhUUhIYH4SlZXR1iJMK5RO/xwgr3WZFQcSTslYviPG0sUpgZsxigUwbyObCY2DSXaDT+xaQ WTR+xAudrSjMZneZCLtInWwA5g304hL2fCIzKcfJ/Jlai+cSVMeAkNTQlmNaxtFEwjv0EN+Wpof6 wq5hdV1jSSVD5TIE1T3+FBfY46AGIFuEGZUN+yW6ofMih0cgtvEpWQM20K1kARzacNp+jNZPct4Z vHlsEgjyCkOpRUzMArDJvyHDnLbh+DUpmmEGbCdPZC2HVORCoLGQQdaPz7nODdOfZbQQ09CFXYGN 8I57lvtVWNMEWzbwBqoNQkR1I6eQlBk2Fxg5GWCFBclHpsCtwyJSUf0sg2qwRP3qatr2SdxwC3ga TSFG0ViUQX5n6IvV76PHjVwJ+SJsCf5b0+6grzaLa6DbaA0oE8rmge+qasArfwqyfeBfuXDUeWbw 7iqrkEbL1q1EJ5Tok7axrtMmhcxbrHA74gtCL15B/crXIY9+7IslykYc4r7etNPdEiLkaQ1FfIbx LEtLoDj1D7+F5ZqdgBZPcECQbnZYprQa3DKonsAZMocdFtGZDFoUunOsGrstBW5KLOaHMMPWn2HX rT5bxvxJzCK3Pg1WjzAZ41fcnKTEqJDbjFRBYPXH6WMTJ6z0ZiqL95w0cQu0Hkkl4zg4kdZxYH7F /IfBWHXgSFVLozPOEYoryRhfsGd/WnK/Mawi3ii0TNn8FKLQzQZt6laWxG6TtXcVaHtHbE/zyQ4E vNASSukPLCZ6FIrasXINyPhJ4Jb/Lr6Y4UouUObpnpaoRzxCWijBoS4rrSajV0hXagBKxp1wI/Qx s0arEh44v/gi6uUXeQ+AvvIlpzeGuCWGKJo9HZMB4d9YcVCH2QqDLLV7W63B2pxAxlC6ZoJaLiAX Anz5lxzHJYDsr9GevAPv7hGVCGQ1dc+PSiDKhaIkKJYt8tkAQFOFHI/AozeBw7NEYSOePS01aLg4 hMaoEO8lOZgiEfcKS8O6sgqLgrASCVAzR6UNTCJxzaedelaqIfcKx2tJKatEh4z34JN91BhxhX0m 5OPxzzYFMLtSV6P6k+H8bETRr9D6XLA+z0VKjrvQchyZMLLOVz7HWUIhKNRMkDznxRe/NyL1NmBW ppXJrNwR/ZlVujtM8xvjLIh40V3ipStRs6gibuq3vjtHxo5H6vVlubH2YDcYvOxMklFrB8BYbiTD hKMCgC39+MyccSUjJpa/bzBEM1fndcJ8AX31F4CHr0Gn0tHPymNDBqsTOLU8DQuk0vI7XrthEhX6 tkDrEc3UF4MWXyDNWVENDi1xtoso/C1ou1eAzc5LRbYXkKv3gesjTByxDXG3yWM5oxLtykPJT+5d Xkp2fREz4OlbsKt33LLAsJhXbou7SFnTJ7kEkfS/DPgrAZGrImClEpqUj+GEsG5wq0bdATSSHU6e RDBx65WKQMi44UBM6cyq1nyDYaImVZmIg4XZ8zLmfLpuGSOcYBsFDg31eA3v/uu/TOEddpr5s44S o/TnrVtRTfo4x1hlzNhDIuHK3qdjeM/0Mls38c18YiwHZkoEMm9JzS2e4RDxKnidest2LU7tqhNy 4gK4razSTvHfK2Amy1oMnMz0/rXvSv7sapo5Ert3PL7K5RMb4Bh7stYLuoPGlpMhCm+i249P5J71 lHASDBkPyeOyN6C1BiUJoofPDHhZvG2QVv0eJNtwITNsJgqtQKsGgcMZHFcUpTYe4I/z2BRc7Ft/ ZmUL+8o/AXnnu8D1Mx+XwmyZZGEKoot0jG3L0irG22qFTBvg6gPIu28yPsPPEPYyunIj3zkA6KoO EKvMZVhHpySBCZ6M61hNpcHKSVlbWcoOROvsXq8IuM3hFeDWzvSR2UN+vtFCS2MSMZttgM2IK/nh szHXVpdsyKO0Wt0CHeavDGPgGEHpEJR7VVf3jcOC+9bukhyAVlN5CFO1CCCmERVtLGtB6w8VlbF3 B1SKRHNPIBdUBqiNjUl755bVIwhXkHVhXqLBp8/Ue1BNaSxAo++PuK6wpGIXM3aTZlNRXn+Sqg2M oYgAPPsVdorzQCu7JSfm+7VpgcEVdnciP4IsDWjeoqspAafi1quV2S+9HDwIHq7N8eBF0pO6K6+k 59UeOA6vuYlCH3wBwNeBJ+9Arp72WIwZdJ7QNg8AmTyzOTsdkNfKVbSb57D9lY/hYQ955wew5elJ fCisqFMlgIF2eHgRPsYRkwmrtCO5aV1EWc9KEXF0h/lBz2mlBKOcBeJMq5DqGy2bBIcnkRUROT9j o5JhDo5Kw/q1xvlFUdQbvposPnbMVDtpYiURAC3vQK9n2zzrY5nxTUHSBa3qZONmP8ewBjs89/bw iaSlyVu5/HSGzg5utGZAaSibCRUOeQh+J39IhD9Q0bj5m/sVJ0qgAsLiCXdgXOTL+iKls4YiFByV UU1LjbEPa0jFws/Hg3e8VAT3h7w43MW6MwNjdnI9oeDEAZtZ22Xrz3yoiCurxs7Xef2GJgUom1R/ 3huxMdXuYyHmsIwWVindeIdguOUTwEl5+FXYfAHM7wLP3vfrF3ibsle/wgzmBq0UT6RQWchDgdYj 5HiF+p3fhj37CUw2aRVr8aJ33k23JkTYjy8Wmx8vRzuYbJkhHMfEhqLg9JoCCjA+kmGY+9GJCl/Y Pp6ulBmplFEghRtfKpReh3hy2H5NQxR8dF2jz2JrDRb9WmmR5maeDhwVe7C6jkDmnD+W58wrGdhq hcZBUv/ck9yvwjID2pGNC1jvYKACO8AmQ6uu8Z2DaYEtU1pWJqWjpkvx2IlOiH58sUMqJHcLM0BI WZKTZcieicX0ic42c0+5x3RmZs+7hwtjWesMXDJ6NlpfYoA2NmBlzIbH0QAkkgbGpQGkMBEr/v3p ArLdQbfeFKPtHnZs1EcdczFI2zvHPNvBS/W21yIKa0d20EHH31SDt3h3hlczKiQTh1CU3XACwOlh ABR3U8tmC3v4mvd2bAY77iH7x8Cb30O7fBV4+Bpk+xDYGAQbmFc2QiZBeevHKD/5Fmq5YAxNnOtK OxNBQAxy0RswdoxJyIECyagHX5rNPCvnseTC4/WwQAL4yciQsdaTkAHCVpdulRndSRVxqzqNmNG6 GxQQQs9QQcEzuEICSrPFY0kZABd2RdpDhXNe3J1PL3fwIQKz1VrzmBhZfTP+6hrJ4RLEQY6PNTKT 9+kU3jOnO3fCIa4D+K+OX7Y+iGDmqkxO1qcTUFlyoJ3U381aYat5ZyxINC/PFVM8rLrxmly5RdOH I6Qy9RumOq1BSybM0WJyib0wcZNB+bIio2tE+Tv4VXII6FbydmS3g26/gHb5KnS3Q9MZKq40WrIZ fNTxDksWCCI7b256YAC6AYtlgDXKV7oVBg+453050NTgBHxZCrMsPsGLt2PD5RZy+SoMArUj+zTe QEsBtpeAbuKJ+JUKoDfPUV//ZocwqINvwcxdBNbNKhJAyqOETdWi4/X4YCLOxSHJuFxYMDoE6cP4 SPYP8TDBAE8R1QHwG+cPBRSKMsIf4+OQvFaPp/XnlOdHn0vxr7DwnQ+ulx45WDSSUcP6CW84zULG VYeBEZmQ7efQFdSq70BtkHnGfcr9KiyhdSATxI6uiIoCh0O3eqSxNboX1Mo8QzY7eN9A0vfGjjrs nN4ROoKJLU1lbc2xJGbu5mTNBIZYSDehDdXT74K0ZCxSu2Pg/VRissUxDchAMqzjt8I9UGR3lM5o IFykBtt6xqyJwlRQ0eNY6xU5SmC8uHCCEUJm1hLyngHI8Rp2/QShZJMKV0AcVMGq6j/szbbA2o3j fqRkcwdnFxUHukYZTywGnYDtDNl+IZwpAKTfQkWTAj1WtG/8Lcij78Fk9kVNSykyxunakyp4ZVUl QM+6S8OLT+eHlo5k9mx0/fnI+2PK4XBDZNgcB5hAV5phrXi6LgCqoQFFg+EzoAQjhKGLEmrh/RWn /K5hgkrgEgnC1eJWXfQ6iGuL+yIgV9IccGXuiZ0hhgYQ4MprK54l1jJBpgn36RTeL6yh0BJSAb74 Fdj2FUiZIcs17OYKen3lce5m3n/twUO07QWAwh2+QRLjc+cJ/LdyiBu8Er4dmF3ruxgwWBXJngDu TPGR0IiBzG8n0wtpheT0G69tACJ6kwH0v0VhIEXKYGUArsjw+F3Y5gB8+RfIxjrE1l5kpJPVIjq0 eIkwWSRWcBpBm3aQ6dotLi2w/cEbz6pXGkiZoWVGPR4G68PSVRc5Oio+LVElaBW5KeRQ5KCsX28A UHaYrt5F/YP/FXj0x2g655h2HN7i98Pjaym0GCImJCtexUiKnOJcrLUhOG/o2dWweoTj5ore414+ 3sZnFiDPcRKGYvI4nlutjvjnXaYOsVR8qUbH8RKnQtbYMHWGYeGZYqNmazF6EarsTk0rzHtHDnCG eC1eiAx7q+t1FB6PKuN+CikT6vKCufYpyf1aWA9/ATZf+mBNXEhNgc0u8TpSaFk0ALqBGrncb1Eb hIwD2ndBb7U+k5dtQru59kJqmu+s9HU90iLTF5X6ss5OMWh5S09mEH+4jthVaaF5zMIZDVat5uO7 NsY0PLBqtUJ2l7CHD4E5FnDYAC+R4PvlOYHT7/Sbiq5EHscSyLyByQIcK6AGW6o3l817ad57EfA4 S1uA3QZBB4PonLN6Di+9WHcDD8/Rvvc7wHvfAcol5MRd9z6ThZah0FiNILP/pMIIjz2sBpzMjhNI gwzzBau/3Dr1AucIQNM2fMn6NR6zb3i8Fiq7/uJwLcNE68yighEKAi1QmTzc0XzzVHHL1ouh4xD+ vbDmJSsvBjdyhESMEptlZCm1OARCBC+55U9c7tklFIjOSE4m7pLWFNALyCtz7qze8DMQ4y82Sk0q ooQlm3wmh48DOE230A3QcOXnrQ29aaUryeill23kQ2kBK3fvtjC4n40oxsdrK9dIqngsTgRY9r5g w8nMCefxKugFIFsk/1Ec7+UDDK+xDNqdPjnFju5OzBvvO3h85rAF659CW3xcsEBbA5ZjNux07qWK hgKZdz52ynMmMfppBurFVwkF7HiN9s3fBn7yRwC2dOPjTs35vozJmbj/xEwBwZjgxl247wNswdbP oysSvqdcuKxYiM45uYtMsycl7GTRD8rG41lM6kylu1vNNyiN9mB59n6s/v0Ys4Dn+KwQW+D8ZhMt owYI4T4D931yedGikuJ4vYy5SVdWyfp7Wgcp1qm0YUjmFP08W1i3ZL37p89P07fvnqef7aLs93Hb mojvGNCK06+UCWriRbv12ul7pXg8pohjlZY96VSCVmVERsutU0Rlfncph4lplgh7iV2vTE6TIgor s7ccXxZgKtAyUcEKbFc8W1QXj9+9ZAxeLIPbcrgmMdvik/1wgHL0lmXv2cwWwWwW8IoicGkW91K8 7lM3F2hlS2s1RsfuUFVy8heVTq2Qb/8O8PofkgRRgdHtFVo8scPzelT7vLB4AvkBR7ynrn7hcIUb GMfiMxymkH8iLNu19IROt5aQ8aP+7fH+147gySjFd6xnEz0hUoFpBqRClwUNzTeV2obC9pdYQBmj GkMJmfu+4/N+NGtOQGhaYLvPc9B9tcvcFsmHDT6wwCSpx2Lu+J6ZQBCsny96dJVhAi8MlmmGFY9F aJSrTDMwAXZjrrjq8baxEPVmwgBw82ahwGB9kdtJwEk1byB1QSkFtWyA3SVss/ObLQrb33g9Xpk9 dX+88djEPDvdcR+dl9zfhwmvLTrTLA6naHVx+ERbICZo0wxpB9jeFSjI2IAopcrONnWFxLchniRA biCnz8wfrwH1AP3u/4f24z9wy8Gsl1oBiDpOk9KLkYM5IvjBxIb54j+tWS99E2CV8VpZvhGw5wMm QDI3H4DhHCoYfrbrKB6PpT5RJdGM+EE/KSDBDS/oRfCAWzD855Cpy+/xukRniE5owjFdjsjkzC1l 1L9u5qwOXhcu+VELjrFBo/dEe6w79R6UtQJs2vH5dQlXMYO7hyFdK3YGeWnQ4GeVsOBKgYX1whiF brY+OVj7B0haxZkcsni/3WlTZGZQFbq5RBPFMs8ekys71q9RLgpkuwPgVlfZXqJVbyoxeg9rl+un F91eotbm1kwpkLqFXT+DLdfQ+QKtsKPRUSAb+C5ePSngnY5maBnaI9R9LvQEKJJNIK0V/yRicThQ cwJ+8vfRfvT3ADTi2gaYSIIWTy2HF5lMg/W3ctlfPMcSSDpcYyLaB0s5g+sMbp8er99Z/PXiOGu6 kjw2w2TDZa6PBjPY5KDY0uCVENV68qSSlffUmFsforvGvI71GA0KNr5UNDFoTj74onv6dOSeu+Yc oLY4gPGOxRdBVQnqE8m9BjYJLZeT6nHSpQiimv8OsQprRz+fRAE2ADMULR4hM3/fwmXToxe1hqUE sKAVDuxE1FtxngQdCajYbAPVHbB5AGxmZ6hoJZdun6wld3czoMkMTAzWm/UJ3m/4Zxr7JlPvgC1b NG1AO0DaNZMOfmiZd964c3/thIvWYOQ+d8vJObDsSI5yeK/BWLSmIFEjYGrAPEGbwaYJKgp9+7uo 3/2/vfC3CZLD3h8UR2cgcAxqY9LSJD0MoSBj5UgvucFqaiUzKJAlWKM1D1haIeFS9q93i8uAoY7P D6JhRXXi9/69zmsDB4LyGiNcMCirqCstU3HLVye3rpYF0hzsaZAOJitlUO4c+0jiNH9mwoxfV1K0 Iu9y3NVLi6SSm0w9e9z2n2Oke7t+jna4gs4byLQ78aO58kVh9blzpFOpAAaZd5DdQ6zangNAUBa/ zHY1N6cRiHclb5QBTbv7kywKgNfNzeJE/rljMWBeDKjGCn3G3sqOD/3gdzVfwi4ewKaojezRhtxQ U7qbk2Nx5+38lNaVeeDWgFzIPsSFweTm47q/QTuQt6ko6sEpdrVWGj/TEGgmOLSw8cRcYIUWCxpk 8V55Wj1wayYw3aB88C7wnb+L5d0fwPSA7JKd3Y6d/tiToRywSA5bJadU6fEjxELt5SQvG6LExgEQ CXiKpKLtBH2h8OKZrC04Z7wNeueEqwJ5ffE3+nGGAH1eYwCFJZSZAVZRawOkePPgVj00ARDpD7d4 AhoT+CsRhifiCY/j0TBWCOTwCL2EiNZFvBbqcIqpwFqFlumFduOnIffsEtY9lgPacgQ21QniRioZ E3fDTDwIXH2H17agVc+qYXfJuAfjKSZAPUKwQJRxoHxoMfmCrC4CmgNqfX8NNIFOGzSLmAktrWnn pSiHgwcjtxu6kgI7Lv5TF18A04aZF+7Ak1tV3ihj3Gk/eXGeJ1ccbdkDDVAxt0pi0jdArZE/nTGa WmEHZ7DEsvQsplWgHdxVmHeQzdYVfpkRLhOCeWKpgB0QtD0GAO/9GO1Hvwd58hbDQTOsHdYXba4s hHHGrHlTJL2Kfy7uMQxPzbUZ2bA0zeNFds3xonP43zxn7IljfGo8UaIgNBQXXKEMz9IiYD7CLVYc 7Ri2qlSRnpmMzRbEy0vxeTzALwDr1jY/4zWfAWh2zyH4wLzVV2yMncmjXwOtv+gKFHRCuXFGpMxg YjcvnmmfvNxzm6/tU9T3fcHYAlv2wLyFTLMHVaGQtnjqXIu3PV8qiCZFu34KgUE3l44TaebvLQcv NSkzexbyNoUB0TI5x5YZME3APLNhqAHlKfT5cwDPoNMWNrGb9FR8Ykxbp3WBYdpcAHAckChgW/hC Xg7eAl6UGS+Bza7ARqTxT20hfWTpu2m40N6I4uAB9cqME5kLjLuuwYDDNQOswFiTCQaxvc5wIlRi gcgE6NYt3bJ110HEGzOoQaTCGsehzJge/wT1e38Hdv0IZqwFzUXaxcgc2uuVqEVE4LV+YYkRh8U/ +rq2HAPXQH3jEh7TELV3HLM7egOsAJ3xDwMsrzmsOypoQbeWxmwxkIq8H4ZzQWjxStzDUCJTF9i8 A7YFODjQVcycv51eSC9ItnwtrwnoY2scj8xi3jH/1MdnLLoWUdjx4OeZL5/c/tKnJ/ersL72q9/H Oz8EUB0Q2hyg6AwATjEsnHCtrVsceQcXg+xvvGpdFJjUXYu2kBalAjiiV52rx1HKxpXcRBOaBZ1m QNl9CaYXaNdXQCkoZUJTcVcOxY8/eefkmjtVoO1ZUjP74mwi0OmCN3uC9v6Y1lWvP+slST3gH1TL XFAKv08DFdbCwld2Kxp0Z8QLrR0h5vWAjecTqQAUUjaweQvZPkCbLyAXF14mIr3lu8GHSuYZikuY Avr0XeCP/k/o1Xuo4lguC0vkNMtFECukeCY3SltoAaS7I0B23o7mE2bw+IyPSg9SOftWX6jrRM7t 5Usr/66NpYHXFArAcKrU+mGkG1PjPjW4u9Fd2ZhFdNfXMVQKgR3N4S4G1KVS8fGEQzszocUIYxwO /XP+VLqFlTdNBSeEjjSzVLoCcw+oVQ8nfOlPfP/2YHx6cr8Ka7v7g3DPWt0D6hgjAdCap7KbDtXp kT4XptiLutV19IWEKihG83nauFs2uQ++3k1YR6UBLA3jGn68eYbIK/4356yzdxINbf048b0ULZDe VmZQIre/9/EkFM7iOzvgE1fyxIgYIBZzV9qAJgUt2pO1xZX60gaGTlcgQgyUkRccKsSoXQDbV6C7 S9h21y3IYB7NrNqoOxo2H7yL4zf+Nuqzdzw9P2C8usY1ZoMJY1DWOzJmJFpgKv6MQOpj3qIj7FdO Tg57KoUBVuB/+yCJkd2zd0LNcpTuFsaGM1gfRhpsbhy34qnjc45Jwn6M8bZFvMxOxkIAgLHBIoAR rGuVOpYWaLD08thu+LUcfBGkO2oqhE0MWA9EAoJuaOJAGFFufk7fhBT4M//6H+Kv/qenk/FTk3tG uuMbutSlGSa0A9OylcXJpLcwhZq7a9mDja5OzodosroYzI6w7Q4yX0DVraNuulOa4ETNdOHOFEw3 yTyaE/e+pS8Ci7geu9yMlkPylreDf6d5fAplgjx4CDEFlhtI3UNbQ20NmDzbiXqE7G+cVFFI8WwN Ou2Ah19G210wNlgQ7a9cTqwRUT/+P/x/cHz722j7a4hu4FnaIXQ7xGei7VRXhFQqY8zKkK6iv6tp pfUGEcjv9mvjOcLiaNUtm9PP0LoZGSBuPftw4+hKtnBZx49kYsJCq94+lgXE4eR1ZvxsaZ4IArxw v1lefyi1zJzGPB81dszbHLfIXI6WHS1cCCCkYDZBq0vfBEWAVo/45X/+G7hHue8V+AD/wV/7I7l5 8nU7MOhapAMUdevdodkGvhP4w3dXm2DzDN0+yIacogWYLzxu9VJD5kVvxmQ+0GNwpfny73yaQsvE mvN010q4hZLQzjyTNF94Hz8yuJoZkxqbQUHk/+4Q8y7MAGRZnE55M8NKoRt6VzMCg5p3ntdpgj55 hPYP/y/Im99GpQICCMAMJoO4BANLSCQthjXDgQyVPsrsnH9fSyDBAX9o1l2mpWWAvOOtBNGLD8Dq 9aQBHmNQcQ0sqwFA3F0oCCqrsHTi88mU4M9rnMPJhNAfRI9FGfr9FYVuXwGOB7TlJsdQQIUZ15RB /VDsrsTELHsKpPsaWcUi/fqZgFK6lm05IDLtkZ23aftD/B+/8U8BuH7BpPnE5b5Lc57jS7/4P9kP /t6/LwwCixW0NnHS7X3Q2aoqaWm1eKB3u4VstmjT1jmdWk1zuNmdxQYfQcIt0Jxrnw1F1cVDIpM3 StAFbdl3txDCbObWM5seyPC1bsAK+Pdh96ZbAEDbzDxnG6zNO74nCpOK8vxdtJ98B/XH/wC6f4ZW 5sHtQe/8M9xQxE+8aS74eXRlcMcphYDbiP0kK6ahWyGx8Afr5xRYOuKyXjguQixc9UXcNFxoIEtw EtXev+MZSCY1WijVrmyD4iWtHVYQZH9AcRiITY6Oh1XvMJX+drjhYR32cqnxltxpCYUbShT5fQ3a 5KVCIF5fG8MRFtarX/vruEdlBayRbfcjv/Jrfwn7Kz4c9ckciqc2NHL46LyDTFt3W4r/qG4A85hR kp2VTXYqwcf4ERQk9+xn6ccAW9wVbLYgAu9Gd0o3D7wvoYaFKT7j7Kc/V6SyhccQizHpGTfXJ870 qnaE/vibsP/3r8O++7uQwzWaTnCOfec3N6ObEWtM2LNPCppOBCg6/CNYCnoqPgCjzGzyAiwxRy4i XiwvgyKKEJ1mADx2I8v33BrtMSlVMogkB7pHE5oOB8TJ71QkXoAd5TyZEPCL9vGN6g3Ai+6rOVEi 1qVDdrzu+YGl5vchyvrP0q+B1OBigCwGNKAVJe8+oC2uL6xH4hBF0JYj8XhLThdvISAeevg3/sJ/ g3uW+1dY/5b8Hn7xn/5fkK7chlmqntrN3W+egc0lZHMBmbbeHknAOjZO2txW7GP+jPJxj/Xz/EGf aAZSJ6s30tzMaKsuMf3HfoZz3TUS62P7WjYAeP4B8I2/jfbN/x3YPwG0ocEVVON//jAZZyoKLe7a 5eJfkVgBo4mQ2KvIYMFWllG4mL1tl/+00UKLcUiglh9LZH3fEdS2Vu+YT2EdNtwas3AfLc7tjUeS M8u1Yga5x+uM5+pB8trvVQWiDbLcoB2P/Tw8f2u1r5VwYbMR7+l8Hj4TllVxP7stSypxB8JiYCMR 4Mt/+m/gz8rv3zkdPkWRD//IpyJflz//3/1Qtg98V2UFemBkBJO7Cpigr7yCJoCUGdAJ2SZq9f8X STCH+tSV2LEBsPNFl3RhBB9LrweJXp7zJSVDH+VwiM5CgcNZB3NFCb/4FMRBjgVyeIz6238Fen0F m7Y+dMd9fxaBnyKw1OrBewLLqLwhAAALQklEQVRybEXZhl6Q6fkYo0h65OI2MMtGcCusx4sDh2XW KVbiOLFYIxkRykWlfy+NrhPF2Q+DjP8FuHKwqPrnrd83MMTMqAwHxZxuYEhAFBKNDm7KzS0wBKDF beC4rLD6JIvQZZWNRAwZBitQxMkPlwXI7y35XnxJzGC//Zd/EcDruGe53zauXZ7gP/prr9j7r/8r ePBFyMMvQS++AOwewraXkGkHbC8gDx4CGweCZoOAj6xMCBTkDurPTW6913dZ7uQfs62RZTOLmHwf b48wwkD6Dk8XJwK8p/GYT1AkOna+/vchb3zXmS4HK8bZiYXeS+n8SowzGo/iv83jhiUsKT4roL8f 905wKuAJh4AWuYXNVD9joogscWbrulWREAEBHFXfU/rdkuFPTpXG+3HT0q+j9fdCTi27ZBFdWz1e q9jvsisTOL1Qsyysj3kb1yagBSZAx71juF7+DvBvkX4fAodJ1AVJfQ0gyoh6NYECv/Rn/iK+8Tf/ 6kecFp+ofFYsLABQ/Off/B25/uBf8HUgGLuYZLWXAYDBpBG0+9F1rtmh737m1gFk8snaFi+nyp6C 8EWnwXA6XCgVDy8F44TuUy92+yPPRzNdJlqGvlc2AeMWH1UcfW62cPFwp09lYH581QFH9PFF2HPR ++sVGBpkeQ788A+BP/594HidOFW/X/+fanEAbSkwsFefRckIF0S0Syd4EoBbYK3BVsdkvC6UsiBx Tcm+JbQIYqFylSdoPq0ZYUG9eAA9XhstsbGLkcQlUBkHw8Zgka1gWGawsN6AFbhzDeNgAD7fJ1ml BDuC0WrydmzrzOkgGkwL3aq12AQa0CsH+j0WaWziovAmIm5h9S5SAmwf/l373/7rf0lunfB+5LOk sIC/ZV/D737j78jNzT/2SdBYGIKNFE7HERYPd3StRlAiRQCYQNmxJVkMIB6XgBdIO6bUhq8J0CIT VPuxgMwkCYOuTcnf9VPfTMtYw0oJs92ZWye9M/PHEQGcKkbEm5o+ew/25jeBH/8DtGUP1Y1DT8ZT pcInE0dm+rorlgeXCKZLj2OxrT2CRZSKGMwwBt7KqHjiWaFMrrqC4WPa+PAolc/S3NJoYLof3siE ys/PTRxba15PCfQgeATcAQS3VMACFLr6rEx+T0k/FEo2xifuP8kcC0kVORQwjLuABv8Yhtgd/6da UWv1MYrEgn8pP9SZIfhsWuUcUoBcZaLRaFZhOv0Q/95v/Iv4N+Xtn3bOfFLy2VJYAPCb9qfwxh/9 luyf/+M/N/MgRNhmK8xspomFWSir5qUpAES8rbw27swiyeUPxEJkhiuYCoC0coQ0u71BIWMSNN+s GmAFsvFY3MmFfvi9jPVmrfaFXrSXaXxUheV1Tj3akwvLoSKTNcizt9He/RHaG9+DPn0HJgtMGmBl sDTX96DT5A0Syrx2hVfukjCehQFqEO7YYLFykfEA3TKBv56hJMJRRsBmttpaAkrg72kY0yMeiWcN ZYlM79Pp0uFcZbBqjWwdeXy4hRSKeWwTFgos7xDdGqvVmT/IGNJqdSjFamRX/+OL5NeP7GqWDPVz JzTDLC3dQMwLCrxGk2VdOv0Q/+5/8S/jz371jdMne5/y2VNYAPCb9lU8+sHfkMdv/droZH1cccZS Bi6HieauBrE8NRSWAWjAssCa77JpDWSqPQKjCpuIF2vGMAAtg3HCAk7FUiNgLsB84d2DgHR1HLn9 ER+NmFMcV5aWiBAawLrKD3nEInRLxTsRWT0A+yvIs/cgzx+hXj+GXj0BHr8N2V97eY7SxWAvxfUY O/pbtLD+EkmjYqcbEOsgNQDBg/VgdckFlvV1MZY1XOATbiYZyP7MyE0Vb4WFN2T/UgneugmsfVGK SsICWgDb0kIHlJZSizzI+PUTC/OUGt2U1g8BoFK8LKkdl9tjjOZVZSb5jO1EiZuA5Ht0Bd2s9XZ6 jM0lX5bERuqWtE2738Wv/KV/Ff/tZ8eyCvlsKizwef8P9hflW7/1H2LeDrvfxzii1HhsHmOIFDj5 yz1eEDsfd59GZcBFoyLel1glE3UKxhtmj3c5uhieyo8F0bxPokyFMSgqs7JlYBgI2uE1uv5DZFRY yoXGpER0Arr7e5JxkunmKeSDt9EevwF79DrwwbvQ4zO0UshUUtHbxAca23fxu5q4ukWjaIUWnrEu L55DfpCB6zFTFnGdekR0V7bmvFw9dhMLMf4e/KxUILERTTSe4m9XqhELu61ZgM7woOt5p+J4KQNa jDXjTqmwhHFQv5l+TRgVVoOePF9D8zk0fi7cxZOpr2IQBWptPZwQU2iMuWnXnEqCv7a/QsAlEO4s zKslVNB++df+S/sr//F/IsCCz6B8ZhXWIF/Hb9p/Jd/+rX/txYrLBncvYlMsIQEAm/IzACccqWiE CkvGGFWwV9YDEF1SwgLIYD2Q2SEME02Fgc5Qhu4WKndlxyBtslYOunEW0+Yk/9ACqQf/3LR7saUl ZKNI1xbp8kBcEWqJbjm+yoStoaw1zM8eoz15E/rohzi8/X3o8XlQinGsFCJO1NdIGtfv0xcdILdg CD42SgZM7RmuoWZPFZ1umEHyeFRt5KYa6V5SuQABhfCX41r628IejAY/rlc9aH+O3EROA+oArbmw 5Ia3w/oRus4tKWTGD/L+mn/LJIL/lmGFiJtqi/tNE2dt1dFlTZfROswHMKcwCoVkQJl2iE45LXjv eRMmAKadx6wOV8jWXdnM14Av//LfxP/8F/4dfAagCy+TfxQUlstftl+F4c/hne//unzwkz+JMk99 5zIENbJbQ7Rs0tLwgGK4hLmzxK4ewLtmUBw5wWdmUEhPk6iHNozasLPHn3E5kFW2RRuAUnwyTTMp atTjO8E7Fen3Vp1Pa7PDiywkaXRV4zuV9B8QB99OG0eft2uvQzseIDdPII/egH3wOuzxO5DFudiC eM9WcAkg8QLZVm2I9ZjTAElwjdGSMiLDRYdYSigsQi9cX7CVVpkYjuGGMMQCs7iYn+9jrbkZGa2D rjwckNoJ74iEl6CjRj++DfdlYOYyNpk4YOC0XANoRXcJx2lg/bdSt5qiKyzeijEhEvGuhlFBDlad jbnp4bPhJtdjd3MFbsHzkRmTFxIbx8S5vDQvaIdBrC22ffgTvPrV/xG//p/9Bv5t+b07J9pnTP7R UVhdLvHf268C+Gcg+Ofk8Y9/xW6eP0S93gHwrjfk6XZczwxjA9NVWQiAQMdbJW4HgNriXNbi/eUy 5cwd2VHF4RYNqPKolKfbgHzHeFwFpkLero13z4F6nKca23dJWgBSJm+IUcoqXp0Koy5+P7HDLxWC A0wmyHTp93u4hjz6MfD6t2BPXoftryBVEIyoCQ+wQZmvCHBLty7G8+fnSiosv2xCFaL+M42i7hKm Xgl3hy5g6ikoDM3zAGHFxRijjw+A4drDreEVqj/77O9XG2TqCsuM546MXwT4QzEhXo87jutUlsb4 3EmDaMTqRcVF0DsrDftQuJm189iSYYA7REG1FFdWtoxEpa7sysSg+YIVcJRKN65HrLqy2lw6hff+ cAPRZ/bq176LX/31P5z+5D/7jeXPye8DuMJZznKWs5zlLGc5y1nOcpaznOUsZznLWc5ylrOc5Sxn OctZznKWs5zlLGc5y1nOcpaznOUsZznLWc5ylrOc5SxnOctZznKWs5zlLGc5y1nOcpaznOUsZznL Wc5ylrOc5SxnOctZznKWs5zlLGc5y1nOcpaznOUsZznLWc5ylrOc5SxnOctZznKWs5zlLGc5y1nO cpaznOUsZznLWc5ylrOc5SxnOctZznKWs5zlLGc5y1nOcpaznOUsZznLWc5ylrOc5SxnOcvnV/5/ fl07WlwHHbMAAAAASUVORK5CYII= "
+ id="image1359"
+ x="90.934036"
+ y="19.464371"
+ style="stroke-width:2.71808" /><text
+ xml:space="preserve"
+ style="font-size:12.7px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:0.264583"
+ x="169.47803"
+ y="66.103424"
+ id="text4115"><tspan
+ sodipodi:role="line"
+ style="font-size:12.7px;stroke-width:0.264583"
+ x="169.47803"
+ y="66.103424"
+ id="tspan4117">User-facing application</tspan></text><text
+ xml:space="preserve"
+ style="font-size:12.7px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:0.264583"
+ x="73.229004"
+ y="183.68733"
+ id="text4115-3"><tspan
+ sodipodi:role="line"
+ style="font-size:12.7px;stroke-width:0.264583"
+ x="73.229004"
+ y="183.68733"
+ id="tspan4117-6">Database*</tspan></text><text
+ xml:space="preserve"
+ style="font-size:12.7px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:0.264583"
+ x="111.25725"
+ y="162.21248"
+ id="text4115-3-6"><tspan
+ sodipodi:role="line"
+ style="font-size:12.7px;stroke-width:0.264583"
+ x="111.25725"
+ y="162.21248"
+ id="tspan4117-6-8">K2V</tspan></text><text
+ xml:space="preserve"
+ style="font-size:12.7px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:0.264583"
+ x="266.38498"
+ y="182.65727"
+ id="text4115-3-7"><tspan
+ sodipodi:role="line"
+ style="font-size:12.7px;stroke-width:0.264583"
+ x="266.38498"
+ y="182.65727"
+ id="tspan4117-6-5">Object storage</tspan></text><path
+ style="fill:none;stroke:#000000;stroke-width:1.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#Arrow1Mend)"
+ d="M 147.15073,80.962811 V 93.477746 H 74.989012 v 12.859624"
+ id="path12236" /><path
+ style="fill:none;stroke:#000000;stroke-width:1.265;stroke-linecap:butt;stroke-linejoin:miter;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1;marker-end:url(#Arrow1Mend-3)"
+ d="m 190.64085,80.962811 v 12.514935 h 75.18482 v 12.859624"
+ id="path12236-6"
+ sodipodi:nodetypes="cccc" /><g
+ id="g329"
+ transform="matrix(0.25773706,0,0,0.25773706,234.20703,108.42714)"
+ style="stroke-width:1.02656"><g
+ id="g1663"
+ transform="matrix(1.7099534,0,0,1.7099534,-88.607712,-87.994557)"
+ style="stroke-width:1.02656"><path
+ d="m 138.33068,100.19817 a 8.327649,8.327649 0 0 1 -2.77589,-0.288688 l -34.78736,-9.388036 a 8.4442361,8.4442361 0 0 1 -2.620433,-1.238044 z"
+ id="path6"
+ style="stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 85.377935,159.27452 5.163143,-0.0333 h 0.06662 q 2.864711,0 2.864711,2.69816 v 8.69407 a 24.849705,24.849705 0 0 1 -8.649651,1.43235 q -4.730105,0 -7.128468,-3.21447 -2.398363,-3.21447 -2.398363,-8.76068 0,-5.55177 2.981299,-8.62745 a 9.7600046,9.7600046 0 0 1 7.29502,-3.08123 13.368653,13.368653 0 0 1 7.811335,2.43167 3.9250986,3.9250986 0 0 1 -0.682867,1.76547 4.7634152,4.7634152 0 0 1 -1.282458,1.33242 9.798867,9.798867 0 0 0 -5.679457,-1.96533 5.3574542,5.3574542 0 0 0 -4.480275,2.04861 q -1.598909,2.03749 -1.598909,6.41229 0,8.22771 6.062529,8.22771 a 16.910679,16.910679 0 0 0 3.697476,-0.43303 v -3.16451 q 0,-1.49898 0.06662,-2.22071 h -2.442777 a 2.2873276,2.2873276 0 0 1 -1.515632,-0.41638 1.6655298,1.6655298 0 0 1 -0.483004,-1.33242 5.7072154,5.7072154 0 0 1 0.333106,-1.79322 z"
+ id="path8"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 111.07151,169.73404 a 4.3137222,4.3137222 0 0 1 -0.55518,1.18253 4.0305821,4.0305821 0 0 1 -0.84942,0.94935 3.7640973,3.7640973 0 0 1 -3.05902,-1.95422 6.7453957,6.7453957 0 0 1 -4.76342,2.13188 q -2.564913,0 -3.886233,-1.49898 a 5.1298318,5.1298318 0 0 1 -1.299113,-3.4643 q 0,-2.77588 1.815427,-4.21379 a 7.3338829,7.3338829 0 0 1 4.669039,-1.3935 q 1.53228,0 2.89802,0.13325 v -0.99932 q 0,-2.63154 -2.53161,-2.63154 -1.79877,0 -5.096518,1.19918 a 4.674587,4.674587 0 0 1 -1.110353,-2.96464 18.581761,18.581761 0 0 1 7.217291,-1.49898 5.8682167,5.8682167 0 0 1 4.0639,1.39905 q 1.56559,1.39904 1.56559,4.23044 v 6.79537 q -0.0111,1.83208 0.9216,2.59822 z m -8.36096,-0.83276 a 4.7134493,4.7134493 0 0 0 3.33106,-1.59891 v -2.94244 a 22.368065,22.368065 0 0 0 -2.53161,-0.13324 2.775883,2.775883 0 0 0 -2.06525,0.68842 2.3928111,2.3928111 0 0 0 -0.69953,1.76546 2.3539488,2.3539488 0 0 0 0.55518,1.66553 1.8431863,1.8431863 0 0 0 1.41015,0.55518 z"
+ id="path10"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 113.76966,157.00939 a 3.986168,3.986168 0 0 1 0.55518,-1.21583 3.3310596,3.3310596 0 0 1 0.84942,-0.94935 4.1638245,4.1638245 0 0 1 3.51427,2.96464 q 1.33242,-2.96464 4.29707,-2.96464 a 10.215249,10.215249 0 0 1 1.93201,0.23317 7.4782288,7.4782288 0 0 1 -0.99932,3.88624 8.4497879,8.4497879 0 0 0 -1.49897,-0.19987 q -2.03195,0 -3.26444,2.16519 v 10.64829 a 11.575432,11.575432 0 0 1 -2.03195,0.16655 12.769062,12.769062 0 0 1 -2.09857,-0.16655 v -11.15905 q -0.0222,-2.40947 -1.2547,-3.40879 z"
+ id="path12"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 140.38483,169.73404 a 4.3137222,4.3137222 0 0 1 -0.58293,1.18253 4.0305821,4.0305821 0 0 1 -0.84942,0.94935 3.7640973,3.7640973 0 0 1 -3.05348,-1.95422 6.7453957,6.7453957 0 0 1 -4.76341,2.13188 q -2.56492,0 -3.88624,-1.49898 a 5.1298318,5.1298318 0 0 1 -1.29911,-3.4643 q 0,-2.77588 1.81543,-4.21379 a 7.3338829,7.3338829 0 0 1 4.64682,-1.4157 q 1.53229,0 2.89803,0.13324 v -0.99932 q 0,-2.63153 -2.53161,-2.63153 -1.79877,0 -5.09652,1.19918 a 4.674587,4.674587 0 0 1 -1.11035,-2.96465 18.581761,18.581761 0 0 1 7.21729,-1.49897 5.8682167,5.8682167 0 0 1 4.0639,1.39904 q 1.56559,1.39905 1.56559,4.23045 v 6.81757 q 0.0333,1.83208 0.96601,2.59822 z m -8.37206,-0.83276 a 4.7134493,4.7134493 0 0 0 3.33106,-1.59891 v -2.94244 a 22.368065,22.368065 0 0 0 -2.53161,-0.13324 2.775883,2.775883 0 0 0 -2.06526,0.69952 2.3928111,2.3928111 0 0 0 -0.69952,1.76546 2.3539488,2.3539488 0 0 0 0.55518,1.66553 1.8431863,1.8431863 0 0 0 1.41015,0.54408 z"
+ id="path14"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 144.48203,169.6008 q -1.49897,-2.29843 -1.49897,-6.34567 0,-4.04724 1.8987,-6.34567 a 5.740526,5.740526 0 0 1 4.56355,-2.29843 6.4400486,6.4400486 0 0 1 4.49693,1.66553 3.7696491,3.7696491 0 0 1 2.63154,-1.43235 3.1200925,3.1200925 0 0 1 0.88273,0.93269 3.8862362,3.8862362 0 0 1 0.55518,1.16587 q -0.9327,0.79946 -0.9327,2.86472 v 9.438 q 0,5.29638 -1.73215,7.49488 -1.73215,2.1985 -5.69611,2.22071 a 16.100121,16.100121 0 0 1 -5.9626,-1.11036 4.4802752,4.4802752 0 0 1 1.03263,-3.03126 10.892565,10.892565 0 0 0 4.48028,1.03263 q 2.18184,0 3.0146,-1.11035 a 4.9965894,4.9965894 0 0 0 0.83277,-3.06458 v -1.33242 a 6.4011862,6.4011862 0 0 1 -4.16383,1.56559 4.9188647,4.9188647 0 0 1 -4.40255,-2.30953 z m 8.56083,-2.69816 v -7.72806 a 4.2915151,4.2915151 0 0 0 -2.86471,-1.36573 2.4039147,2.4039147 0 0 0 -2.18185,1.43235 8.6885138,8.6885138 0 0 0 -0.7828,4.09721 q 0,2.66485 0.71618,3.93065 a 2.1318781,2.1318781 0 0 0 1.88205,1.2658 4.2304457,4.2304457 0 0 0 3.23113,-1.63222 z"
+ id="path16"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 174.20619,164.67083 h -9.32697 a 5.6405943,5.6405943 0 0 0 0.88273,3.04792 q 0.7828,1.0826 2.74813,1.0826 a 10.120869,10.120869 0 0 0 4.36369,-1.16587 4.3803434,4.3803434 0 0 1 1.19918,2.5316 10.759323,10.759323 0 0 1 -6.41229,1.8987 q -3.74744,0 -5.37966,-2.43167 -1.63222,-2.43167 -1.63222,-6.2957 0,-3.88624 1.79877,-6.2957 a 6.0181143,6.0181143 0 0 1 5.14649,-2.43168 q 3.33106,0 5.14648,2.01529 a 7.3449864,7.3449864 0 0 1 1.79878,5.07987 13.04665,13.04665 0 0 1 -0.33311,2.96464 z m -6.42895,-7.06184 q -2.73146,0 -2.93133,4.13051 h 5.79605 v -0.39973 a 4.7245529,4.7245529 0 0 0 -0.69953,-2.69816 2.4316735,2.4316735 0 0 0 -2.14298,-1.03262 z"
+ id="path18"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ id="path24-3-6"
+ style="fill:#ffd952;fill-opacity:1;stroke-width:0.569924"
+ d="m 124.80273,70.162462 a 11.0036,11.0036 0 0 0 -4.33203,0.935547 L 76.261719,90.656602 a 1.5989086,1.5989086 0 0 0 -0.837891,2.138672 0.77169547,0.77169547 0 0 0 0.06641,0.177735 l 7.09375,14.021481 h 6.15625 l -0.875,-4.88867 c -0.07217,-0.39418 -0.711263,-3.187532 -1.316406,-5.197264 l 20.691398,6.462894 c 0.27198,1.28839 0.63292,2.49204 1.0625,3.62304 h 33.54883 c 0.36964,-1.13128 0.66138,-2.33705 0.85938,-3.62304 l 20.64648,-6.445316 c -0.60514,2.009734 -1.23639,4.785506 -1.30859,5.179686 l -0.875,4.88867 h 6.15429 l 7.02735,-13.894528 0.0664,-0.126953 0.0684,-0.171875 a 0.10548355,0.10548355 0 0 0 0,-0.04492 1.4878733,1.4878733 0 0 0 0.0664,-0.515625 1.5822533,1.5822533 0 0 0 -0.99414,-1.583985 L 129.35352,71.098009 a 11.0036,11.0036 0 0 0 -4.55079,-0.935547 z" /><path
+ id="path24-3"
+ style="fill:#49c8fa;fill-opacity:1;stroke-width:0.569924"
+ d="M 124.80273,79.416133 A 11.0036,11.0036 0 0 0 120.4707,80.35168 L 76.261719,99.910272 a 1.5989086,1.5989086 0 0 0 -0.837891,2.136718 0.77169547,0.77169547 0 0 0 0.06641,0.17773 l 3.847657,7.60352 h 8.175781 c -0.257897,-1.08856 -0.591943,-2.42953 -0.964844,-3.66797 l 11.744141,3.66797 h 53.371087 l 11.69336,-3.65039 c -0.37193,1.23522 -0.70076,2.56719 -0.95703,3.65039 h 8.17383 l 3.78125,-7.47656 0.0664,-0.12696 0.0684,-0.17187 a 0.10548355,0.10548355 0 0 0 0,-0.0449 1.4878733,1.4878733 0 0 0 0.0664,-0.51563 1.5822533,1.5822533 0 0 0 -0.99414,-1.582028 L 129.35352,80.35168 a 11.0036,11.0036 0 0 0 -4.55079,-0.935547 z" /><path
+ class="cls-2"
+ d="m 174.55595,110.92974 a 1.4878733,1.4878733 0 0 1 -0.0666,0.51631 0.10548355,0.10548355 0 0 1 0,0.0444 l -0.0666,0.17211 v 0 l -0.0666,0.12769 -10.69826,21.15223 c -1.48787,2.93688 -4.22489,2.84806 -3.76409,-0.12214 l 2.15408,-12.02512 c 0.0722,-0.39418 0.70508,-3.17006 1.31022,-5.1798 l -20.64702,6.4456 c -3.24223,21.05785 -30.95109,21.40761 -35.47023,0 l -20.691432,-6.46226 c 0.605143,2.00974 1.243596,4.80228 1.315769,5.19646 l 2.154085,12.02512 c 0.460796,2.9702 -2.276224,3.05902 -3.764098,0.12214 L 75.49024,111.66257 a 0.77169547,0.77169547 0 0 1 -0.06662,-0.17766 1.5989086,1.5989086 0 0 1 0.838317,-2.13743 L 120.47065,89.788613 a 11.0036,11.0036 0 0 1 8.88282,0 l 44.20871,19.558867 a 1.5822533,1.5822533 0 0 1 0.99377,1.58226 z"
+ id="path24"
+ style="stroke-width:0.569924" /><path
+ class="cls-3"
+ d="m 139.0413,114.61611 19.11473,-7.69475 a 0.81055784,0.81055784 0 0 0 0,-1.50453 c -2.2207,-0.92714 -4.96328,-1.99308 -7.65033,-3.10899 -0.49411,-0.20541 -5.17425,3.15341 -5.60173,3.49762 l -8.23882,6.58439 c -1.99309,1.67108 -0.26649,3.28665 2.37615,2.22626 z"
+ id="path26"
+ style="stroke-width:0.569924" /><circle
+ class="cls-3"
+ cx="125.18409"
+ cy="122.13319"
+ r="9.9654207"
+ id="circle28"
+ style="stroke-width:0.569924" /><path
+ d="m 138.33068,100.19817 a 8.327649,8.327649 0 0 1 -2.77589,-0.288688 l -34.78736,-9.388036 a 8.4442361,8.4442361 0 0 1 -2.620433,-1.238044 z"
+ id="path6-0"
+ style="stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 85.377935,159.27452 5.163143,-0.0333 h 0.06662 q 2.864711,0 2.864711,2.69816 v 8.69407 a 24.849705,24.849705 0 0 1 -8.649651,1.43235 q -4.730105,0 -7.128468,-3.21447 -2.398363,-3.21447 -2.398363,-8.76068 0,-5.55177 2.981299,-8.62745 a 9.7600046,9.7600046 0 0 1 7.29502,-3.08123 13.368653,13.368653 0 0 1 7.811335,2.43167 3.9250986,3.9250986 0 0 1 -0.682867,1.76547 4.7634152,4.7634152 0 0 1 -1.282458,1.33242 9.798867,9.798867 0 0 0 -5.679457,-1.96533 5.3574542,5.3574542 0 0 0 -4.480275,2.04861 q -1.598909,2.03749 -1.598909,6.41229 0,8.22771 6.062529,8.22771 a 16.910679,16.910679 0 0 0 3.697476,-0.43303 v -3.16451 q 0,-1.49898 0.06662,-2.22071 h -2.442777 a 2.2873276,2.2873276 0 0 1 -1.515632,-0.41638 1.6655298,1.6655298 0 0 1 -0.483004,-1.33242 5.7072154,5.7072154 0 0 1 0.333106,-1.79322 z"
+ id="path8-6"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 111.07151,169.73404 a 4.3137222,4.3137222 0 0 1 -0.55518,1.18253 4.0305821,4.0305821 0 0 1 -0.84942,0.94935 3.7640973,3.7640973 0 0 1 -3.05902,-1.95422 6.7453957,6.7453957 0 0 1 -4.76342,2.13188 q -2.564913,0 -3.886233,-1.49898 a 5.1298318,5.1298318 0 0 1 -1.299113,-3.4643 q 0,-2.77588 1.815427,-4.21379 a 7.3338829,7.3338829 0 0 1 4.669039,-1.3935 q 1.53228,0 2.89802,0.13325 v -0.99932 q 0,-2.63154 -2.53161,-2.63154 -1.79877,0 -5.096518,1.19918 a 4.674587,4.674587 0 0 1 -1.110353,-2.96464 18.581761,18.581761 0 0 1 7.217291,-1.49898 5.8682167,5.8682167 0 0 1 4.0639,1.39905 q 1.56559,1.39904 1.56559,4.23044 v 6.79537 q -0.0111,1.83208 0.9216,2.59822 z m -8.36096,-0.83276 a 4.7134493,4.7134493 0 0 0 3.33106,-1.59891 v -2.94244 a 22.368065,22.368065 0 0 0 -2.53161,-0.13324 2.775883,2.775883 0 0 0 -2.06525,0.68842 2.3928111,2.3928111 0 0 0 -0.69953,1.76546 2.3539488,2.3539488 0 0 0 0.55518,1.66553 1.8431863,1.8431863 0 0 0 1.41015,0.55518 z"
+ id="path10-2"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 113.76966,157.00939 a 3.986168,3.986168 0 0 1 0.55518,-1.21583 3.3310596,3.3310596 0 0 1 0.84942,-0.94935 4.1638245,4.1638245 0 0 1 3.51427,2.96464 q 1.33242,-2.96464 4.29707,-2.96464 a 10.215249,10.215249 0 0 1 1.93201,0.23317 7.4782288,7.4782288 0 0 1 -0.99932,3.88624 8.4497879,8.4497879 0 0 0 -1.49897,-0.19987 q -2.03195,0 -3.26444,2.16519 v 10.64829 a 11.575432,11.575432 0 0 1 -2.03195,0.16655 12.769062,12.769062 0 0 1 -2.09857,-0.16655 v -11.15905 q -0.0222,-2.40947 -1.2547,-3.40879 z"
+ id="path12-6"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 140.38483,169.73404 a 4.3137222,4.3137222 0 0 1 -0.58293,1.18253 4.0305821,4.0305821 0 0 1 -0.84942,0.94935 3.7640973,3.7640973 0 0 1 -3.05348,-1.95422 6.7453957,6.7453957 0 0 1 -4.76341,2.13188 q -2.56492,0 -3.88624,-1.49898 a 5.1298318,5.1298318 0 0 1 -1.29911,-3.4643 q 0,-2.77588 1.81543,-4.21379 a 7.3338829,7.3338829 0 0 1 4.64682,-1.4157 q 1.53229,0 2.89803,0.13324 v -0.99932 q 0,-2.63153 -2.53161,-2.63153 -1.79877,0 -5.09652,1.19918 a 4.674587,4.674587 0 0 1 -1.11035,-2.96465 18.581761,18.581761 0 0 1 7.21729,-1.49897 5.8682167,5.8682167 0 0 1 4.0639,1.39904 q 1.56559,1.39905 1.56559,4.23045 v 6.81757 q 0.0333,1.83208 0.96601,2.59822 z m -8.37206,-0.83276 a 4.7134493,4.7134493 0 0 0 3.33106,-1.59891 v -2.94244 a 22.368065,22.368065 0 0 0 -2.53161,-0.13324 2.775883,2.775883 0 0 0 -2.06526,0.69952 2.3928111,2.3928111 0 0 0 -0.69952,1.76546 2.3539488,2.3539488 0 0 0 0.55518,1.66553 1.8431863,1.8431863 0 0 0 1.41015,0.54408 z"
+ id="path14-1"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 144.48203,169.6008 q -1.49897,-2.29843 -1.49897,-6.34567 0,-4.04724 1.8987,-6.34567 a 5.740526,5.740526 0 0 1 4.56355,-2.29843 6.4400486,6.4400486 0 0 1 4.49693,1.66553 3.7696491,3.7696491 0 0 1 2.63154,-1.43235 3.1200925,3.1200925 0 0 1 0.88273,0.93269 3.8862362,3.8862362 0 0 1 0.55518,1.16587 q -0.9327,0.79946 -0.9327,2.86472 v 9.438 q 0,5.29638 -1.73215,7.49488 -1.73215,2.1985 -5.69611,2.22071 a 16.100121,16.100121 0 0 1 -5.9626,-1.11036 4.4802752,4.4802752 0 0 1 1.03263,-3.03126 10.892565,10.892565 0 0 0 4.48028,1.03263 q 2.18184,0 3.0146,-1.11035 a 4.9965894,4.9965894 0 0 0 0.83277,-3.06458 v -1.33242 a 6.4011862,6.4011862 0 0 1 -4.16383,1.56559 4.9188647,4.9188647 0 0 1 -4.40255,-2.30953 z m 8.56083,-2.69816 v -7.72806 a 4.2915151,4.2915151 0 0 0 -2.86471,-1.36573 2.4039147,2.4039147 0 0 0 -2.18185,1.43235 8.6885138,8.6885138 0 0 0 -0.7828,4.09721 q 0,2.66485 0.71618,3.93065 a 2.1318781,2.1318781 0 0 0 1.88205,1.2658 4.2304457,4.2304457 0 0 0 3.23113,-1.63222 z"
+ id="path16-8"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 174.20619,164.67083 h -9.32697 a 5.6405943,5.6405943 0 0 0 0.88273,3.04792 q 0.7828,1.0826 2.74813,1.0826 a 10.120869,10.120869 0 0 0 4.36369,-1.16587 4.3803434,4.3803434 0 0 1 1.19918,2.5316 10.759323,10.759323 0 0 1 -6.41229,1.8987 q -3.74744,0 -5.37966,-2.43167 -1.63222,-2.43167 -1.63222,-6.2957 0,-3.88624 1.79877,-6.2957 a 6.0181143,6.0181143 0 0 1 5.14649,-2.43168 q 3.33106,0 5.14648,2.01529 a 7.3449864,7.3449864 0 0 1 1.79878,5.07987 13.04665,13.04665 0 0 1 -0.33311,2.96464 z m -6.42895,-7.06184 q -2.73146,0 -2.93133,4.13051 h 5.79605 v -0.39973 a 4.7245529,4.7245529 0 0 0 -0.69953,-2.69816 2.4316735,2.4316735 0 0 0 -2.14298,-1.03262 z"
+ id="path18-7"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ id="path24-3-6-9"
+ style="fill:#ff9329;fill-opacity:1;stroke-width:0.569924"
+ d="m 124.80273,70.162462 a 11.0036,11.0036 0 0 0 -4.33203,0.935547 L 76.261719,90.656602 a 1.5989086,1.5989086 0 0 0 -0.837891,2.138672 0.77169547,0.77169547 0 0 0 0.06641,0.177735 l 7.09375,14.021481 h 6.15625 l -0.875,-4.88867 c -0.07217,-0.39418 -0.711263,-3.187532 -1.316406,-5.197264 l 20.691398,6.462894 c 0.27198,1.28839 0.63292,2.49204 1.0625,3.62304 h 33.54883 c 0.36964,-1.13128 0.66138,-2.33705 0.85938,-3.62304 l 20.64648,-6.445316 c -0.60514,2.009734 -1.23639,4.785506 -1.30859,5.179686 l -0.875,4.88867 h 6.15429 l 7.02735,-13.894528 0.0664,-0.126953 0.0684,-0.171875 a 0.10548355,0.10548355 0 0 0 0,-0.04492 1.4878733,1.4878733 0 0 0 0.0664,-0.515625 1.5822533,1.5822533 0 0 0 -0.99414,-1.583985 L 129.35352,71.098009 a 11.0036,11.0036 0 0 0 -4.55079,-0.935547 z" /><path
+ id="path24-3-2"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924"
+ d="M 124.80273,79.416133 A 11.0036,11.0036 0 0 0 120.4707,80.35168 L 76.261719,99.910272 a 1.5989086,1.5989086 0 0 0 -0.837891,2.136718 0.77169547,0.77169547 0 0 0 0.06641,0.17773 l 3.847657,7.60352 h 8.175781 c -0.257897,-1.08856 -0.591943,-2.42953 -0.964844,-3.66797 l 11.744141,3.66797 h 53.371087 l 11.69336,-3.65039 c -0.37193,1.23522 -0.70076,2.56719 -0.95703,3.65039 h 8.17383 l 3.78125,-7.47656 0.0664,-0.12696 0.0684,-0.17187 a 0.10548355,0.10548355 0 0 0 0,-0.0449 1.4878733,1.4878733 0 0 0 0.0664,-0.51563 1.5822533,1.5822533 0 0 0 -0.99414,-1.582028 L 129.35352,80.35168 a 11.0036,11.0036 0 0 0 -4.55079,-0.935547 z" /><path
+ class="cls-2"
+ d="m 174.55595,110.92974 a 1.4878733,1.4878733 0 0 1 -0.0666,0.51631 0.10548355,0.10548355 0 0 1 0,0.0444 l -0.0666,0.17211 v 0 l -0.0666,0.12769 -10.69826,21.15223 c -1.48787,2.93688 -4.22489,2.84806 -3.76409,-0.12214 l 2.15408,-12.02512 c 0.0722,-0.39418 0.70508,-3.17006 1.31022,-5.1798 l -20.64702,6.4456 c -3.24223,21.05785 -30.95109,21.40761 -35.47023,0 l -20.691432,-6.46226 c 0.605143,2.00974 1.243596,4.80228 1.315769,5.19646 l 2.154085,12.02512 c 0.460796,2.9702 -2.276224,3.05902 -3.764098,0.12214 L 75.49024,111.66257 a 0.77169547,0.77169547 0 0 1 -0.06662,-0.17766 1.5989086,1.5989086 0 0 1 0.838317,-2.13743 L 120.47065,89.788613 a 11.0036,11.0036 0 0 1 8.88282,0 l 44.20871,19.558867 a 1.5822533,1.5822533 0 0 1 0.99377,1.58226 z"
+ id="path24-0"
+ style="fill:#ff9329;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-3"
+ d="m 139.0413,114.61611 19.11473,-7.69475 a 0.81055784,0.81055784 0 0 0 0,-1.50453 c -2.2207,-0.92714 -4.96328,-1.99308 -7.65033,-3.10899 -0.49411,-0.20541 -5.17425,3.15341 -5.60173,3.49762 l -8.23882,6.58439 c -1.99309,1.67108 -0.26649,3.28665 2.37615,2.22626 z"
+ id="path26-2"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><circle
+ class="cls-3"
+ cx="125.18409"
+ cy="122.13319"
+ r="9.9654207"
+ id="circle28-3"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /></g></g><g
+ id="g329-5"
+ transform="matrix(0.25773706,0,0,0.25773706,41.303976,108.42714)"
+ style="stroke-width:1.02656"><g
+ id="g1663-6"
+ transform="matrix(1.7099534,0,0,1.7099534,-88.607712,-87.994557)"
+ style="stroke-width:1.02656"><path
+ d="m 138.33068,100.19817 a 8.327649,8.327649 0 0 1 -2.77589,-0.288688 l -34.78736,-9.388036 a 8.4442361,8.4442361 0 0 1 -2.620433,-1.238044 z"
+ id="path6-9"
+ style="stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 85.377935,159.27452 5.163143,-0.0333 h 0.06662 q 2.864711,0 2.864711,2.69816 v 8.69407 a 24.849705,24.849705 0 0 1 -8.649651,1.43235 q -4.730105,0 -7.128468,-3.21447 -2.398363,-3.21447 -2.398363,-8.76068 0,-5.55177 2.981299,-8.62745 a 9.7600046,9.7600046 0 0 1 7.29502,-3.08123 13.368653,13.368653 0 0 1 7.811335,2.43167 3.9250986,3.9250986 0 0 1 -0.682867,1.76547 4.7634152,4.7634152 0 0 1 -1.282458,1.33242 9.798867,9.798867 0 0 0 -5.679457,-1.96533 5.3574542,5.3574542 0 0 0 -4.480275,2.04861 q -1.598909,2.03749 -1.598909,6.41229 0,8.22771 6.062529,8.22771 a 16.910679,16.910679 0 0 0 3.697476,-0.43303 v -3.16451 q 0,-1.49898 0.06662,-2.22071 h -2.442777 a 2.2873276,2.2873276 0 0 1 -1.515632,-0.41638 1.6655298,1.6655298 0 0 1 -0.483004,-1.33242 5.7072154,5.7072154 0 0 1 0.333106,-1.79322 z"
+ id="path8-3"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 111.07151,169.73404 a 4.3137222,4.3137222 0 0 1 -0.55518,1.18253 4.0305821,4.0305821 0 0 1 -0.84942,0.94935 3.7640973,3.7640973 0 0 1 -3.05902,-1.95422 6.7453957,6.7453957 0 0 1 -4.76342,2.13188 q -2.564913,0 -3.886233,-1.49898 a 5.1298318,5.1298318 0 0 1 -1.299113,-3.4643 q 0,-2.77588 1.815427,-4.21379 a 7.3338829,7.3338829 0 0 1 4.669039,-1.3935 q 1.53228,0 2.89802,0.13325 v -0.99932 q 0,-2.63154 -2.53161,-2.63154 -1.79877,0 -5.096518,1.19918 a 4.674587,4.674587 0 0 1 -1.110353,-2.96464 18.581761,18.581761 0 0 1 7.217291,-1.49898 5.8682167,5.8682167 0 0 1 4.0639,1.39905 q 1.56559,1.39904 1.56559,4.23044 v 6.79537 q -0.0111,1.83208 0.9216,2.59822 z m -8.36096,-0.83276 a 4.7134493,4.7134493 0 0 0 3.33106,-1.59891 v -2.94244 a 22.368065,22.368065 0 0 0 -2.53161,-0.13324 2.775883,2.775883 0 0 0 -2.06525,0.68842 2.3928111,2.3928111 0 0 0 -0.69953,1.76546 2.3539488,2.3539488 0 0 0 0.55518,1.66553 1.8431863,1.8431863 0 0 0 1.41015,0.55518 z"
+ id="path10-7"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 113.76966,157.00939 a 3.986168,3.986168 0 0 1 0.55518,-1.21583 3.3310596,3.3310596 0 0 1 0.84942,-0.94935 4.1638245,4.1638245 0 0 1 3.51427,2.96464 q 1.33242,-2.96464 4.29707,-2.96464 a 10.215249,10.215249 0 0 1 1.93201,0.23317 7.4782288,7.4782288 0 0 1 -0.99932,3.88624 8.4497879,8.4497879 0 0 0 -1.49897,-0.19987 q -2.03195,0 -3.26444,2.16519 v 10.64829 a 11.575432,11.575432 0 0 1 -2.03195,0.16655 12.769062,12.769062 0 0 1 -2.09857,-0.16655 v -11.15905 q -0.0222,-2.40947 -1.2547,-3.40879 z"
+ id="path12-4"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 140.38483,169.73404 a 4.3137222,4.3137222 0 0 1 -0.58293,1.18253 4.0305821,4.0305821 0 0 1 -0.84942,0.94935 3.7640973,3.7640973 0 0 1 -3.05348,-1.95422 6.7453957,6.7453957 0 0 1 -4.76341,2.13188 q -2.56492,0 -3.88624,-1.49898 a 5.1298318,5.1298318 0 0 1 -1.29911,-3.4643 q 0,-2.77588 1.81543,-4.21379 a 7.3338829,7.3338829 0 0 1 4.64682,-1.4157 q 1.53229,0 2.89803,0.13324 v -0.99932 q 0,-2.63153 -2.53161,-2.63153 -1.79877,0 -5.09652,1.19918 a 4.674587,4.674587 0 0 1 -1.11035,-2.96465 18.581761,18.581761 0 0 1 7.21729,-1.49897 5.8682167,5.8682167 0 0 1 4.0639,1.39904 q 1.56559,1.39905 1.56559,4.23045 v 6.81757 q 0.0333,1.83208 0.96601,2.59822 z m -8.37206,-0.83276 a 4.7134493,4.7134493 0 0 0 3.33106,-1.59891 v -2.94244 a 22.368065,22.368065 0 0 0 -2.53161,-0.13324 2.775883,2.775883 0 0 0 -2.06526,0.69952 2.3928111,2.3928111 0 0 0 -0.69952,1.76546 2.3539488,2.3539488 0 0 0 0.55518,1.66553 1.8431863,1.8431863 0 0 0 1.41015,0.54408 z"
+ id="path14-5"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 144.48203,169.6008 q -1.49897,-2.29843 -1.49897,-6.34567 0,-4.04724 1.8987,-6.34567 a 5.740526,5.740526 0 0 1 4.56355,-2.29843 6.4400486,6.4400486 0 0 1 4.49693,1.66553 3.7696491,3.7696491 0 0 1 2.63154,-1.43235 3.1200925,3.1200925 0 0 1 0.88273,0.93269 3.8862362,3.8862362 0 0 1 0.55518,1.16587 q -0.9327,0.79946 -0.9327,2.86472 v 9.438 q 0,5.29638 -1.73215,7.49488 -1.73215,2.1985 -5.69611,2.22071 a 16.100121,16.100121 0 0 1 -5.9626,-1.11036 4.4802752,4.4802752 0 0 1 1.03263,-3.03126 10.892565,10.892565 0 0 0 4.48028,1.03263 q 2.18184,0 3.0146,-1.11035 a 4.9965894,4.9965894 0 0 0 0.83277,-3.06458 v -1.33242 a 6.4011862,6.4011862 0 0 1 -4.16383,1.56559 4.9188647,4.9188647 0 0 1 -4.40255,-2.30953 z m 8.56083,-2.69816 v -7.72806 a 4.2915151,4.2915151 0 0 0 -2.86471,-1.36573 2.4039147,2.4039147 0 0 0 -2.18185,1.43235 8.6885138,8.6885138 0 0 0 -0.7828,4.09721 q 0,2.66485 0.71618,3.93065 a 2.1318781,2.1318781 0 0 0 1.88205,1.2658 4.2304457,4.2304457 0 0 0 3.23113,-1.63222 z"
+ id="path16-2"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 174.20619,164.67083 h -9.32697 a 5.6405943,5.6405943 0 0 0 0.88273,3.04792 q 0.7828,1.0826 2.74813,1.0826 a 10.120869,10.120869 0 0 0 4.36369,-1.16587 4.3803434,4.3803434 0 0 1 1.19918,2.5316 10.759323,10.759323 0 0 1 -6.41229,1.8987 q -3.74744,0 -5.37966,-2.43167 -1.63222,-2.43167 -1.63222,-6.2957 0,-3.88624 1.79877,-6.2957 a 6.0181143,6.0181143 0 0 1 5.14649,-2.43168 q 3.33106,0 5.14648,2.01529 a 7.3449864,7.3449864 0 0 1 1.79878,5.07987 13.04665,13.04665 0 0 1 -0.33311,2.96464 z m -6.42895,-7.06184 q -2.73146,0 -2.93133,4.13051 h 5.79605 v -0.39973 a 4.7245529,4.7245529 0 0 0 -0.69953,-2.69816 2.4316735,2.4316735 0 0 0 -2.14298,-1.03262 z"
+ id="path18-5"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ id="path24-3-6-4"
+ style="fill:#ffd952;fill-opacity:1;stroke-width:0.569924"
+ d="m 124.80273,70.162462 a 11.0036,11.0036 0 0 0 -4.33203,0.935547 L 76.261719,90.656602 a 1.5989086,1.5989086 0 0 0 -0.837891,2.138672 0.77169547,0.77169547 0 0 0 0.06641,0.177735 l 7.09375,14.021481 h 6.15625 l -0.875,-4.88867 c -0.07217,-0.39418 -0.711263,-3.187532 -1.316406,-5.197264 l 20.691398,6.462894 c 0.27198,1.28839 0.63292,2.49204 1.0625,3.62304 h 33.54883 c 0.36964,-1.13128 0.66138,-2.33705 0.85938,-3.62304 l 20.64648,-6.445316 c -0.60514,2.009734 -1.23639,4.785506 -1.30859,5.179686 l -0.875,4.88867 h 6.15429 l 7.02735,-13.894528 0.0664,-0.126953 0.0684,-0.171875 a 0.10548355,0.10548355 0 0 0 0,-0.04492 1.4878733,1.4878733 0 0 0 0.0664,-0.515625 1.5822533,1.5822533 0 0 0 -0.99414,-1.583985 L 129.35352,71.098009 a 11.0036,11.0036 0 0 0 -4.55079,-0.935547 z" /><path
+ id="path24-3-7"
+ style="fill:#49c8fa;fill-opacity:1;stroke-width:0.569924"
+ d="M 124.80273,79.416133 A 11.0036,11.0036 0 0 0 120.4707,80.35168 L 76.261719,99.910272 a 1.5989086,1.5989086 0 0 0 -0.837891,2.136718 0.77169547,0.77169547 0 0 0 0.06641,0.17773 l 3.847657,7.60352 h 8.175781 c -0.257897,-1.08856 -0.591943,-2.42953 -0.964844,-3.66797 l 11.744141,3.66797 h 53.371087 l 11.69336,-3.65039 c -0.37193,1.23522 -0.70076,2.56719 -0.95703,3.65039 h 8.17383 l 3.78125,-7.47656 0.0664,-0.12696 0.0684,-0.17187 a 0.10548355,0.10548355 0 0 0 0,-0.0449 1.4878733,1.4878733 0 0 0 0.0664,-0.51563 1.5822533,1.5822533 0 0 0 -0.99414,-1.582028 L 129.35352,80.35168 a 11.0036,11.0036 0 0 0 -4.55079,-0.935547 z" /><path
+ class="cls-2"
+ d="m 174.55595,110.92974 a 1.4878733,1.4878733 0 0 1 -0.0666,0.51631 0.10548355,0.10548355 0 0 1 0,0.0444 l -0.0666,0.17211 v 0 l -0.0666,0.12769 -10.69826,21.15223 c -1.48787,2.93688 -4.22489,2.84806 -3.76409,-0.12214 l 2.15408,-12.02512 c 0.0722,-0.39418 0.70508,-3.17006 1.31022,-5.1798 l -20.64702,6.4456 c -3.24223,21.05785 -30.95109,21.40761 -35.47023,0 l -20.691432,-6.46226 c 0.605143,2.00974 1.243596,4.80228 1.315769,5.19646 l 2.154085,12.02512 c 0.460796,2.9702 -2.276224,3.05902 -3.764098,0.12214 L 75.49024,111.66257 a 0.77169547,0.77169547 0 0 1 -0.06662,-0.17766 1.5989086,1.5989086 0 0 1 0.838317,-2.13743 L 120.47065,89.788613 a 11.0036,11.0036 0 0 1 8.88282,0 l 44.20871,19.558867 a 1.5822533,1.5822533 0 0 1 0.99377,1.58226 z"
+ id="path24-4"
+ style="fill:#ffd952;stroke-width:0.569924" /><path
+ class="cls-3"
+ d="m 139.0413,114.61611 19.11473,-7.69475 a 0.81055784,0.81055784 0 0 0 0,-1.50453 c -2.2207,-0.92714 -4.96328,-1.99308 -7.65033,-3.10899 -0.49411,-0.20541 -5.17425,3.15341 -5.60173,3.49762 l -8.23882,6.58439 c -1.99309,1.67108 -0.26649,3.28665 2.37615,2.22626 z"
+ id="path26-4"
+ style="fill:#45c8ff;stroke-width:0.569924" /><circle
+ class="cls-3"
+ cx="125.18409"
+ cy="122.13319"
+ r="9.9654207"
+ id="circle28-30"
+ style="fill:#45c8ff;stroke-width:0.569924" /><path
+ d="m 138.33068,100.19817 a 8.327649,8.327649 0 0 1 -2.77589,-0.288688 l -34.78736,-9.388036 a 8.4442361,8.4442361 0 0 1 -2.620433,-1.238044 z"
+ id="path6-0-7"
+ style="stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 85.377935,159.27452 5.163143,-0.0333 h 0.06662 q 2.864711,0 2.864711,2.69816 v 8.69407 a 24.849705,24.849705 0 0 1 -8.649651,1.43235 q -4.730105,0 -7.128468,-3.21447 -2.398363,-3.21447 -2.398363,-8.76068 0,-5.55177 2.981299,-8.62745 a 9.7600046,9.7600046 0 0 1 7.29502,-3.08123 13.368653,13.368653 0 0 1 7.811335,2.43167 3.9250986,3.9250986 0 0 1 -0.682867,1.76547 4.7634152,4.7634152 0 0 1 -1.282458,1.33242 9.798867,9.798867 0 0 0 -5.679457,-1.96533 5.3574542,5.3574542 0 0 0 -4.480275,2.04861 q -1.598909,2.03749 -1.598909,6.41229 0,8.22771 6.062529,8.22771 a 16.910679,16.910679 0 0 0 3.697476,-0.43303 v -3.16451 q 0,-1.49898 0.06662,-2.22071 h -2.442777 a 2.2873276,2.2873276 0 0 1 -1.515632,-0.41638 1.6655298,1.6655298 0 0 1 -0.483004,-1.33242 5.7072154,5.7072154 0 0 1 0.333106,-1.79322 z"
+ id="path8-6-8"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 111.07151,169.73404 a 4.3137222,4.3137222 0 0 1 -0.55518,1.18253 4.0305821,4.0305821 0 0 1 -0.84942,0.94935 3.7640973,3.7640973 0 0 1 -3.05902,-1.95422 6.7453957,6.7453957 0 0 1 -4.76342,2.13188 q -2.564913,0 -3.886233,-1.49898 a 5.1298318,5.1298318 0 0 1 -1.299113,-3.4643 q 0,-2.77588 1.815427,-4.21379 a 7.3338829,7.3338829 0 0 1 4.669039,-1.3935 q 1.53228,0 2.89802,0.13325 v -0.99932 q 0,-2.63154 -2.53161,-2.63154 -1.79877,0 -5.096518,1.19918 a 4.674587,4.674587 0 0 1 -1.110353,-2.96464 18.581761,18.581761 0 0 1 7.217291,-1.49898 5.8682167,5.8682167 0 0 1 4.0639,1.39905 q 1.56559,1.39904 1.56559,4.23044 v 6.79537 q -0.0111,1.83208 0.9216,2.59822 z m -8.36096,-0.83276 a 4.7134493,4.7134493 0 0 0 3.33106,-1.59891 v -2.94244 a 22.368065,22.368065 0 0 0 -2.53161,-0.13324 2.775883,2.775883 0 0 0 -2.06525,0.68842 2.3928111,2.3928111 0 0 0 -0.69953,1.76546 2.3539488,2.3539488 0 0 0 0.55518,1.66553 1.8431863,1.8431863 0 0 0 1.41015,0.55518 z"
+ id="path10-2-6"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 113.76966,157.00939 a 3.986168,3.986168 0 0 1 0.55518,-1.21583 3.3310596,3.3310596 0 0 1 0.84942,-0.94935 4.1638245,4.1638245 0 0 1 3.51427,2.96464 q 1.33242,-2.96464 4.29707,-2.96464 a 10.215249,10.215249 0 0 1 1.93201,0.23317 7.4782288,7.4782288 0 0 1 -0.99932,3.88624 8.4497879,8.4497879 0 0 0 -1.49897,-0.19987 q -2.03195,0 -3.26444,2.16519 v 10.64829 a 11.575432,11.575432 0 0 1 -2.03195,0.16655 12.769062,12.769062 0 0 1 -2.09857,-0.16655 v -11.15905 q -0.0222,-2.40947 -1.2547,-3.40879 z"
+ id="path12-6-8"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 140.38483,169.73404 a 4.3137222,4.3137222 0 0 1 -0.58293,1.18253 4.0305821,4.0305821 0 0 1 -0.84942,0.94935 3.7640973,3.7640973 0 0 1 -3.05348,-1.95422 6.7453957,6.7453957 0 0 1 -4.76341,2.13188 q -2.56492,0 -3.88624,-1.49898 a 5.1298318,5.1298318 0 0 1 -1.29911,-3.4643 q 0,-2.77588 1.81543,-4.21379 a 7.3338829,7.3338829 0 0 1 4.64682,-1.4157 q 1.53229,0 2.89803,0.13324 v -0.99932 q 0,-2.63153 -2.53161,-2.63153 -1.79877,0 -5.09652,1.19918 a 4.674587,4.674587 0 0 1 -1.11035,-2.96465 18.581761,18.581761 0 0 1 7.21729,-1.49897 5.8682167,5.8682167 0 0 1 4.0639,1.39904 q 1.56559,1.39905 1.56559,4.23045 v 6.81757 q 0.0333,1.83208 0.96601,2.59822 z m -8.37206,-0.83276 a 4.7134493,4.7134493 0 0 0 3.33106,-1.59891 v -2.94244 a 22.368065,22.368065 0 0 0 -2.53161,-0.13324 2.775883,2.775883 0 0 0 -2.06526,0.69952 2.3928111,2.3928111 0 0 0 -0.69952,1.76546 2.3539488,2.3539488 0 0 0 0.55518,1.66553 1.8431863,1.8431863 0 0 0 1.41015,0.54408 z"
+ id="path14-1-8"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 144.48203,169.6008 q -1.49897,-2.29843 -1.49897,-6.34567 0,-4.04724 1.8987,-6.34567 a 5.740526,5.740526 0 0 1 4.56355,-2.29843 6.4400486,6.4400486 0 0 1 4.49693,1.66553 3.7696491,3.7696491 0 0 1 2.63154,-1.43235 3.1200925,3.1200925 0 0 1 0.88273,0.93269 3.8862362,3.8862362 0 0 1 0.55518,1.16587 q -0.9327,0.79946 -0.9327,2.86472 v 9.438 q 0,5.29638 -1.73215,7.49488 -1.73215,2.1985 -5.69611,2.22071 a 16.100121,16.100121 0 0 1 -5.9626,-1.11036 4.4802752,4.4802752 0 0 1 1.03263,-3.03126 10.892565,10.892565 0 0 0 4.48028,1.03263 q 2.18184,0 3.0146,-1.11035 a 4.9965894,4.9965894 0 0 0 0.83277,-3.06458 v -1.33242 a 6.4011862,6.4011862 0 0 1 -4.16383,1.56559 4.9188647,4.9188647 0 0 1 -4.40255,-2.30953 z m 8.56083,-2.69816 v -7.72806 a 4.2915151,4.2915151 0 0 0 -2.86471,-1.36573 2.4039147,2.4039147 0 0 0 -2.18185,1.43235 8.6885138,8.6885138 0 0 0 -0.7828,4.09721 q 0,2.66485 0.71618,3.93065 a 2.1318781,2.1318781 0 0 0 1.88205,1.2658 4.2304457,4.2304457 0 0 0 3.23113,-1.63222 z"
+ id="path16-8-4"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-1"
+ d="m 174.20619,164.67083 h -9.32697 a 5.6405943,5.6405943 0 0 0 0.88273,3.04792 q 0.7828,1.0826 2.74813,1.0826 a 10.120869,10.120869 0 0 0 4.36369,-1.16587 4.3803434,4.3803434 0 0 1 1.19918,2.5316 10.759323,10.759323 0 0 1 -6.41229,1.8987 q -3.74744,0 -5.37966,-2.43167 -1.63222,-2.43167 -1.63222,-6.2957 0,-3.88624 1.79877,-6.2957 a 6.0181143,6.0181143 0 0 1 5.14649,-2.43168 q 3.33106,0 5.14648,2.01529 a 7.3449864,7.3449864 0 0 1 1.79878,5.07987 13.04665,13.04665 0 0 1 -0.33311,2.96464 z m -6.42895,-7.06184 q -2.73146,0 -2.93133,4.13051 h 5.79605 v -0.39973 a 4.7245529,4.7245529 0 0 0 -0.69953,-2.69816 2.4316735,2.4316735 0 0 0 -2.14298,-1.03262 z"
+ id="path18-7-3"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><path
+ id="path24-3-6-9-1"
+ style="fill:#ff9329;fill-opacity:1;stroke-width:0.569924"
+ d="m 124.80273,70.162462 a 11.0036,11.0036 0 0 0 -4.33203,0.935547 L 76.261719,90.656602 a 1.5989086,1.5989086 0 0 0 -0.837891,2.138672 0.77169547,0.77169547 0 0 0 0.06641,0.177735 l 7.09375,14.021481 h 6.15625 l -0.875,-4.88867 c -0.07217,-0.39418 -0.711263,-3.187532 -1.316406,-5.197264 l 20.691398,6.462894 c 0.27198,1.28839 0.63292,2.49204 1.0625,3.62304 h 33.54883 c 0.36964,-1.13128 0.66138,-2.33705 0.85938,-3.62304 l 20.64648,-6.445316 c -0.60514,2.009734 -1.23639,4.785506 -1.30859,5.179686 l -0.875,4.88867 h 6.15429 l 7.02735,-13.894528 0.0664,-0.126953 0.0684,-0.171875 a 0.10548355,0.10548355 0 0 0 0,-0.04492 1.4878733,1.4878733 0 0 0 0.0664,-0.515625 1.5822533,1.5822533 0 0 0 -0.99414,-1.583985 L 129.35352,71.098009 a 11.0036,11.0036 0 0 0 -4.55079,-0.935547 z" /><path
+ id="path24-3-2-4"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924"
+ d="M 124.80273,79.416133 A 11.0036,11.0036 0 0 0 120.4707,80.35168 L 76.261719,99.910272 a 1.5989086,1.5989086 0 0 0 -0.837891,2.136718 0.77169547,0.77169547 0 0 0 0.06641,0.17773 l 3.847657,7.60352 h 8.175781 c -0.257897,-1.08856 -0.591943,-2.42953 -0.964844,-3.66797 l 11.744141,3.66797 h 53.371087 l 11.69336,-3.65039 c -0.37193,1.23522 -0.70076,2.56719 -0.95703,3.65039 h 8.17383 l 3.78125,-7.47656 0.0664,-0.12696 0.0684,-0.17187 a 0.10548355,0.10548355 0 0 0 0,-0.0449 1.4878733,1.4878733 0 0 0 0.0664,-0.51563 1.5822533,1.5822533 0 0 0 -0.99414,-1.582028 L 129.35352,80.35168 a 11.0036,11.0036 0 0 0 -4.55079,-0.935547 z" /><path
+ class="cls-2"
+ d="m 174.55595,110.92974 a 1.4878733,1.4878733 0 0 1 -0.0666,0.51631 0.10548355,0.10548355 0 0 1 0,0.0444 l -0.0666,0.17211 v 0 l -0.0666,0.12769 -10.69826,21.15223 c -1.48787,2.93688 -4.22489,2.84806 -3.76409,-0.12214 l 2.15408,-12.02512 c 0.0722,-0.39418 0.70508,-3.17006 1.31022,-5.1798 l -20.64702,6.4456 c -3.24223,21.05785 -30.95109,21.40761 -35.47023,0 l -20.691432,-6.46226 c 0.605143,2.00974 1.243596,4.80228 1.315769,5.19646 l 2.154085,12.02512 c 0.460796,2.9702 -2.276224,3.05902 -3.764098,0.12214 L 75.49024,111.66257 a 0.77169547,0.77169547 0 0 1 -0.06662,-0.17766 1.5989086,1.5989086 0 0 1 0.838317,-2.13743 L 120.47065,89.788613 a 11.0036,11.0036 0 0 1 8.88282,0 l 44.20871,19.558867 a 1.5822533,1.5822533 0 0 1 0.99377,1.58226 z"
+ id="path24-0-9"
+ style="fill:#ff9329;fill-opacity:1;stroke-width:0.569924" /><path
+ class="cls-3"
+ d="m 139.0413,114.61611 19.11473,-7.69475 a 0.81055784,0.81055784 0 0 0 0,-1.50453 c -2.2207,-0.92714 -4.96328,-1.99308 -7.65033,-3.10899 -0.49411,-0.20541 -5.17425,3.15341 -5.60173,3.49762 l -8.23882,6.58439 c -1.99309,1.67108 -0.26649,3.28665 2.37615,2.22626 z"
+ id="path26-2-2"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /><circle
+ class="cls-3"
+ cx="125.18409"
+ cy="122.13319"
+ r="9.9654207"
+ id="circle28-3-0"
+ style="fill:#4e4e4e;fill-opacity:1;stroke-width:0.569924" /></g></g><text
+ xml:space="preserve"
+ style="font-style:normal;font-weight:normal;font-size:5.64444px;line-height:125%;font-family:sans-serif;letter-spacing:0px;word-spacing:0px;fill:#000000;fill-opacity:1;stroke:none;stroke-width:0.264583px;stroke-linecap:butt;stroke-linejoin:miter;stroke-opacity:1"
+ x="118.24205"
+ y="182.54555"
+ id="text1882"><tspan
+ sodipodi:role="line"
+ id="tspan1880"
+ x="118.24205"
+ y="182.54555"
+ style="font-size:5.64444px;stroke-width:0.264583px">*(not really a database)</tspan></text></g><g
+ inkscape:groupmode="layer"
+ id="layer2"
+ inkscape:label="Layer 2"
+ style="display:inline"><rect
+ style="display:inline;fill:#ffffff;fill-opacity:1;stroke:none;stroke-width:1.265;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
+ id="rect13086-2"
+ width="154.11569"
+ height="77.61647"
+ x="33.112736"
+ y="109.40408" /><image
+ width="65.039139"
+ height="65.039139"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAgAAAAIACAYAAAD0eNT6AAAABmJLR0QA/wD/AP+gvaeTAAAgAElE QVR4nO3debxddX3v/9fnG4aQAYgQhqiAcQASLWIcqqBYxKEK1TpUH3qx2sd1eLS92tbWsbe1va11 6PWn1qpwb2uLQyveqjU4IbaCwWolCMUEEA2DSoCACZBAmL6f3x9rHziJCRnY53z32ev1fDzWI2ft nCw++3D2+r7XWt8BJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmS JEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmS JEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmS JEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmSJEmS JEmSJEmSJEmSJI2iaF2ApKHbG5gzaX8PYP6k/QD23+rfbABy0v6twN2T9m8D7hhijZIaMwBIbc0H DgEOBPYb7O8HzAXmllL2Hbw2NzPn0jXc8yb+HlhA19jvPc1130EXCtYDmwbbRmBDREzs31prvXnw fZuAm+mCxc3AjcB1g31JDRgApOGaCzyYrkFfOPjzkFLKgcCBmbkQOHjS3013wz1q7qALA+uA6yLi RuDGWus64PrB6zcOtp/RBQlJQ2AAkHbNAmAxsAg4tJSyGFicmROvHYKfq6m0GbgWWBMRa2qta4C1 E68BVwG1XXnSzOGJStrSHODIwfaoUsoRmXkYMLH1/Yp91N0BXANcExHX1FqvAn4IXD7YbmtYmzRS DADqq4kr+aWllCV0V/FLgaOA0rQyTaW1wKrB3YPVwCq6OwdXsmUnSGnsGQA07g4AlgHHllKOzMyj 6a7uF7QtSyNmPXB5RKyutV4OXASsBG5qW5Y0dQwAGif7AY8BlpVSlmXmMuBo/D3X7lsLrMzMlXSB 4D/pOidKM54nRs1U+wOPxsZe089QoLHgyVIzxcOAp5VSnp6ZT6N7fi+NijURcV6t9ZvAuXSjEaSR ZgDQqFoMHF9KOS4zn0kXAKSZYm1ErKi1ngOcD6zGToYaMQYAjYqjgRNKKU/LzBPoxtRL4+LaiPhm rfU8ujsEl7UuSDIAqJU5wFNKKadk5gvoxthLfXF9RJxda10OnE03PbI0rQwAmk6PAF4QEc8Fjgf2 bFyPNAruBFZk5leAzwM/blyPesIAoKm2FHhJRJxMNx5f0v1bHRHLa61n0fUfsO+ApoQBQFPhmFLK yzPzN4AjWhcjzWBXRcSZtdZPAf/VuhiNFwOAhuWhwAsj4pXA41oXI42h1Zn5WeCTwI9aF6OZzwCg B+IA4GUR8XLgyfj7JE2HBL6dmZ8G/hn4eeN6NEN5wtbuWFZKeW1m/je63vyS2rgjIr5Yaz0dOKd1 MZpZDADaWfsBp0bE6+k69mnmuBPYNGn/Dn5xWdw5bLnU8VxgrymuS8N1SWZ+DPgUDivUTjAAaEeO LqX8QWa+HK/2p8ptwDq6W7m3AhsjYiOwAbi11roR2AjcMnht46RtA3D34N9NuGvwd8M0jy2Hbc4H 9qBbk2HeYJtPFxT3BeaVUiZe2z8zJ76eBzwIWIi/T1NlU0R8qtb6fuDy1sVodBkAtD3LSilvHDT8 s1oXMwNtBq6lWzhmfURcW2tdS7fs7L2vT9rvo33olmVeABxKN/vjglLKocCizJz8+kK6wKGdV4Ev Z+a7gP9oXYxGjwFAkwVwckS8mW6iHm3bncA1wNURcVWt9SrgauBKukVgrqU7+Wp4ZtEFgcPp1oU4 opRyeGYeMXjtMHxkcX/Ozcz3Al/BeQU0YADQhOdFxLuAX2pdyIj4Od2ELJdNauCvomvk12IDP2oK XUA4YrAdXko5IjOPApbQPXYQXJiZ7wC+2roQtWcA0C9HxF8BT29dSCPr6Rr6VbXWNXSrtq0C1rQt S0O2gK7z6pJSyuLMXEoXDB5GP8+D387MtwHntS5E7fTxF1+dpYOG/5TWhUyTW4HvR8TKWutquob+ UroAoP5aQBcEji6lLM3Mx9FNZDWvbVnT5guZ+Xa6z4J6xgDQP3OAN0fE2xjfZ6Z3AVcMGvsVdPOp X4q37bXzFgHHlVKOz8xlwLGM76iFuyPiI7XWP2bL0SQacwaAfvmNiHg/8ODWhQzR3cBFEfG9WusF wAV0V/d3ty1LY2YPukcIy0opj8/MJwLHMF4jE36SmW+kW5FQPWAA6IcjIuI04FmtCxmCu4HvRcR5 tdZzgRV41aI25gPHl1JOyMwTgMczHoHgy5n5euAnrQvR1DIAjL+XDBr/Ba0L2U13AxdHxDm11vOB b9FNfiONmrl0a2IcHxHHAU9ly9kVZ5KbM/N36RYe0pgyAIyv/UspH83Ml7UuZDdcMVgP/avAt9ly GltppphL14/gOZl5MvDI1gXtqoj4RK31d+lmodSYMQCMpydGxGfpJkeZCe4GvpWZXwKWAz9sXI80 FY6km2jreXR3B2bK44KrMvPFwMrWhWi4DADj52UR8fd006yOsp9HxDdqrWcBX8Tb+uqXucCJpZST M/PXgENaF7QDmzPzdcAZrQuR9ItmlVLeExE5wtuGUsrfAyfh+gLShFnASaWUj0fEhhH4nG5vq6WU d9HNuihpROwVEZ8bgRPEtrbNEfEvwIuA2a1/UNKImw28ePB53jwCn99tbZ9lfOcQkWaUvSPiX0fg pDB5uyciVgBvBA5s/QOSZqj9gFdGxPKIuGsEPteTty8z+o8ZpbE2JyK+PgIng4ltfSnlg3SLsUga nkXAOyNi3Qh8zie2b9KfKZOlkbJnRHxtBE4CGRHfA16Ft/ilqTYbeHVEXDACn/uMiK8wc0YzSGMh Sil/3/iDf0cp5Uy6Dn2Spt+yUsppEXFby3NBKeX/tv5BSH3ypw0/8LeXUj4AHNr6hyAJgEWllA9F xO2tzgvAO1r/EKQ+eHZE1EZX/KcxXgsJSePkoFLKuxsFgXuAZ7b+AUjj7KCIWDvNH+w7SylnAItb v3lJO+WhpZQPxvQPI7yO0Z/QSJqZIuLL0/yB/jxweOv3LWm3PCwivjjN54zlrd+0NI5ePI0f4p8B L279hiUNxSkRcdV0nT+AX2/9hqVxsk9EXDkNH967BuP457d+w5KGag7dPAJ3TMN55OrBf0/SELx9 Gj60FwPHtH6jkqbUYyPiv6bhLsBbW79RaRzMjinu+Dfo5Gdil/ph9qCT4FSGgOtxqmDpAXv9FH5I NwOntn6Dkpp4VUzhIwHgda3foDSjRcRFU/QBvQk4vvX7k9TU0yLi51N0jvl+6zcnzWRHTdEH8+fA 41u/OUkj4diIuHGK7gIsaf3mtH2ldQG6Xy+bgmNuzMwTgQum4NiSZp7vZ+ZzgE1TcGyHE48wA8AI i4hnDPmQNTNfAVw05ONKmtkuyMxTgRzmQafgHCb1wt4x5Dm9B4v4SNI2lVL+ZsiPATbjMuHSLnvC kD+IP8GhfpLu39yI+NmQ+wEsa/2mtG0+AhhdDx/mwTLzvcBtwzympLGzKTPfN+RjDvVcpuExAIyu Ya6+dxfwiSEeT9L4+kfg7iEez5VER5QBYESVUvYb4uH+E9gwxONJGl/rge8N62CllP2HdSwNlwFg dM0d1oGiW5xDknZKRFw1xMPNG+KxNEQGgNG15xCP5f9nSbtimOeMYZ7LNEQ2DD2QmXbCkbTTMvMR rWvQ1DMA9MMy4KDWRUiaEQ4Gjm1dhKaeAaAfCvCa1kVImhFeh21DL/g/uSci4veBB7WuQ9JIOzAi 3ti6CE0PA0B/HFBK+VDrIiSNrlLKh/FCoTcMAD0yWAjov7euQ9JIel1mvrR1EZo+BoCeiYiPACe1 rkPSSHlORHy4dRGaXgaA/tkzIv4VeGbrQiSNhGdFxOeAPVoXoullAOinOYMQ8OLWhUhq6qWDc8E+ rQvR9DMA9Nc+EXEm8A4gWhcjaVoF8CcR8U/A7NbFqA0DQL9FRPxFRHyNbvIPSePvwIhYHhF/huG/ 1wwAAnhmRFyAnQOlcfeciLgIeF7rQtSeAUATHhIRXy+lnInjgKVxc2gp5YyI+Arw4NbFaDQYALSF zHxJRPwAOBVvD0oz3SzgDRFxWWae2roYjRYDgLbl0Ig4IyJWAE9qXYyk3fLkiPhuRHwQ2Ld1MRo9 BgDdn6dExHci4uvAE1oXI2mnHFNKOTMivk23Eqi0TQYA7YyTIuI/B0Hg8a2LkbRNjxk0/N/PzJe0 LkajzwCgXTERBD4PPLZ1MZIAODYivhARFw8afvvuaKcYALSrAnhBRHx/MHTwlcCejWuS+mYWcEpE fD0iVgLPx4Zfu8gAoAdiWUT8Y0RcU0p5N/DQ1gVJY+5g4C0RcWVEfJFu7g4bfu0WA4CG4ZDMfEtE /KiU8k/A8a0LksbMU0sp/xwRP4kIw7aGwtWfNEx7ZebLIuJlwNUR8c+11o8Dl7cuTJqBjqBbrOe3 gEdlZuNyNG68A6CpcvjgrsBlEbEKeAtwaOuipBF3APDaiFgREWsGV/uPal2UxpMBQNNhSUS8e3D7 8mzgVcCCxjVJo2IB8KpBh77rI+I04Dh8tq8p5iMATadZdAsPPRO4B7goM88ClgMrm1YmTa/FdL34 TwaeBuzVuB71kAFArcyiG0WwDPhT4MqI+Hqt9SzgbOCOptVJwzULeHIp5eTM/DXg6NYFSQYAjYqH ZeZrI+K1wC3A2Zn5VeCbwI+bVibtnkcAJ0TErwLPAubbkU+jxACgUbQv8OKIePFg/7qI+Fat9Xxg BXAh4JlUo2YxcHwp5bjMfBZdL35pZBkA+uEHdBOILGxdyG46ZLBM8cT85tdGxDdrrecC5+IwQ7Vx FHBCKeWEzDwBWAQwBlf564DrgUe3LkRTywDQAxHx7Vrr20op78rM1zDzR38sysyXR8TLB/vXAxdk 5gXAxHZds+o0jg6lWwhrWUQ8nm51zINgLBr8CTUiTq+1vqOU8leZaQAYcwaA/vh5rfX1wOkR8RHg Sa0LGqKDgedFxPMmvbYWWJmZK+lGGPwnXVCQdmQ/4DHAslLKssxcBixpXNNU+35m/nZmfqd1IZo+ BoD+uTAzjwNeHxF/AezfuqApcihw8mCY1YSrgAsjYnWtdRVw2WDb3KA+tTeb7jb+0aWUpZl5NLAM OHziG8bo6n571mfmO4DTgNq6GE0vA0A/3QP8bWb+E/CGiPg9uquecXcEcERmvjBiizlW1gKrJgWD 1cDFwK3TX6KmwN50PfKXAEtLKUsycylwJN3wvD409FvbFBEfrrW+F/h562LUhgGg334OvDMz/7aU 8ubM/G1gTuuiGjgUODQzT9oqGFwNXB4RV9Var6a7g3A1cCVdaOhdqzGigu7/4cPort6PKKUcnpkP o2vkD5v8zT1s7CfbFBF/W2t9X2be2LoYtWUAEMC6WusfAX9dSnlrZr4O2Kd1USPgcLo1DdgqGEA3 UdE1dIseXV1rvYr7wsFPgRuA26ax1nE2h67D3UMYNPKllCMy83C6uzqHsdVMej1v5Lfl9oj4WK31 PZlpXxgBBgBt6fpa6+8D7yulvCkzf4vx7SPwQO0NPBJ45HYCAsAmutEI1wPrIuJ6up/xOrqAcB3d kKsbgL5djS2ctB0CHFRKWUg35HNiyOpBg7+bu/U/toHfaRsi4u9qrf87M9e2LkajxQCgbbm21vom uil6T42I32X8e0FPhbnAwwfbvY3WdsLC3XR9DjYAGye2iNhANzPiRmBjrXXr77kVuHnSce6kCx4T bmfLTo4bgbu2U++ewLxJ+7PZ8k7QXLa80t5/8P0T2/6llPnA/MH+/Mz8he8Z/P0vnHts1IdqVWZ+ GPhEZm7a4XerlwwAuj8bgY9m5kfpZjh7Q2b+Ov7eTIU96FaF22KVxK0bxe2Eh5FhI95UBf4tMz8E nIV9VLQDnsi1s1bUWlcAi0spv52ZrwYe1LooSdwUER+vtX6Erg+KtFNm+oxwmn5raq1/mJkHZeYz I+IT2NlNmm53AGdl5m9k5qJBJ14bf+0SA4B21z3AObXWV2bmosz8TeAcvO0oTaWVmfl7mfmQzDwF +Cxdvw9pl/kIQMNwM3BGZp5BN1TrRRHxKuCxTauSxsNlmfkZ4BO4NLaGyACgYfsp8MHM/CBdAHhB RLwAOKZtWdKMcnFmfgH4AnBR62I0ngwAmkoXARdl5jvpJnD59Yh4PvAU/N2TJrsbOD8z/5Wu0fd5 vqacJ2FNlyuB92fm++nGk59YSjk5M59L99hA6pt1EfHNWutZwHJgfeuC1C8GALWwCVhea11ON4/7 scBJEXECcDywb8vipClyC/CtzDwP+Drd3TE7zaoZA4BaS+BCumWK30u3OttRwHGllJMy80TggJYF SrvpVuC7mXkOcD7wXbY/C6M07QwAGjX3AKuAVbXW0wevLQZOGgSCXwEObFadtH23AP85aPDPAb5P NzufNJIMAJoJ1gCnDwJBAEuBJ5VSlmXm4+hGGMxuWaB6ZzNwcUSsrLVeCHwHWI3zYGgGMQBopkng B8APaq1/N3htD7p135cNQsEyun4FcxrVqPFyF3DFoLFfCawEvgfc4SN8zWQGAI2Du7nvscEZg9f2 AI7mvlBwDN2KhvYn0P25CVgdERcNruxXApcCd9vYa9wYADSu7gYuAS6ptf7DpNcX0PUpWFpKWQIs zsyldHcQZk17lWplLbAqItbUWlfTBcg1g81VDdULBgD1zXq6q7qVtW7RP2s2XQg4CjiqlHJ0Zj4S OAw7Hc5UNwLXRMQVtdZL6a7kLx9sm8GGXv1mAJA6m4GLBxtbhYO9gQfT3TlYBBxaSlmcmRP7R2B/ g+l2F10Df21ErKFbpXItcC3dVfyP6NaosJGXtsMAIO3YHUy6PQy/EBBmAYfQBYHDgENKKQuBgzPz QGDhYDsYmD89Jc9YtwLXA+voZsq7Ebi+1roOuA64BriK7hZ+BRt4aXcZAKQH7h7gZ4PtfPiFgDDZ 3mwZCA4EFpZSDhx8PTcz5wLzgP3ppk2eSxcc9mX0+yncQzce/ha6GR9vAzYAGyNi0+C1G2utN9I1 8jcyqcGnC1v3snGXpo4BQJped9CtmPjTyS/eT2DY2my6QLAfXSiYy32PH7YOCPPZ8jO+xX4pZd7g v71x0vfcTXcVvr39iQYeusZ90+Dvbx58vXl7hduYS6PFACDNLJsH200P9EC7EDokjaHSugBJkjT9 DACSJPWQAUCSpB4yAEiS1EMGAEmSesgAIElSDxkAJEnqIQOAJEk9ZACQJKmHDACSJPWQAUCSpB4y AEiS1EMGAEmSesgAIElSDxkAJEnqIQOAJEk9ZACQJKmHDACSJPWQAUCSpB4yAEiS1EMGAEmSesgA IElSDxkA+mGv1gVImlH2bl2App4BoAcyc1HrGiTNHJ4z+sEA0A+/jHcBJO2cvenOGRpzBoB+2Bd4 busiJM0IzwPmty5CU88A0BMR8XYgWtchaaTF4FyhHjAA9McTgNe0LkLSSHs9sKx1EZoeBoAeiYj3 AUe2rkPSSDoqIt7TughNHwNAv+wbEV8GDmxdiKSRckBEfBGf/feKAaB/FkfE14ADWhciaSTsHxFf AR7ZuhBNLwNAPz1uEAIWti5EUlMHR8S/0/URUs8YAPprWURcCDy2dSGSmnh0RHwHzwG9ZQDot4dE xLnAb7QuRNK0ellEfBs4onUhascAoH0j4jOllI8Bc1oXI2lKzSmlnB4R/4Qd/nrPACAAMvN1EXEJ cGLrWiRNiedFxKrMdD4QAQYAbWlxRJxTSvko8KDWxUgaiodExOci4iy85a9JDADaWmTm6yPiR8Ab gVmtC5K0W/YA3hgRq4Ffb12MRo8BQNuzICI+MHgs8EoMAtJMUYCXRMQlEfEBfNav7TAAaEeOjoh/ jIj/wiAgjbIATomIlRFxJnBU64I02gwA2llLBkHgYuAluLKgNComGv4LBtP5Oq5fO8UAoF21NCLO jIjvA/8dhw5KrcwBXhMRFw8a/se1LkgziwFAu+uYiPg/EXFtKeU04OjWBUk98fBSyrsj4pqIOB14 TOuCNDMZAPRA7ZeZr42IH0TE1+keD9hPQBquApxUSjkzIi7PzLfggl56gPZoXYDGRgFOioiTgB9l 5ieATwM/aluWNKM9AnhFRJwKPDwzW9ejMeIdAE2FR0TEn0XEFRGxCngLcEjroqQZ4kHAayNiRUT8 MCLeCTy8cU0aQwYATbUlEfHuiPhpRKwAXovjkqWt7UM3dn95RFwXEacBx+FoG00hHwFouswCjouI 44D/D/hqZn4J+BJwfdPKpDYOoZuf/3nAs3FEjaaZAUAtzAFeGBEvHOyvjojltdazgPMBH3RqXC0F To6IU4An411YNWQA0ChYkplLIuItwM8i4kuDMPBvwKbGtUkPxFzgGaWUkzPzecCi1gVJEwwAGjUP HgwrfC1wN3BxRJxTaz0fOA+4uW150v2aAzwFOH7wuOupwN723tcoMgD0wx3A3q2L2A17AMsyc1lE QBcIvhcR59ZazwVWABtbFqjemw8cX0o5ITNPAB7PeJxXZ+o5Q7tgHH5RtQMRcUat9byIeB8zezje HsCTM/PJEfFWukCwMiK+VWv9HrAS+HHTCjXuHg48vpTyhMx8Kt30u3uM0RX+dZn5h4NA85rWxWhq GQD6IYFPZubyUsqfZ+bvMB6z9e0BPCkznzS4QwBwC3BJRKysta6kCwWrsWOhdt0iYBmwLCKWAU8C FgKMUYM/oUbEp2qtvw/cBDytdUGaegaAfrm51vpG4B8i4qN0J7Rxsy9wXGYeNykU3ABcMAgFFwI/ AK4E7mlUo0bLLGAx8OhSyrGZ+Xi6W/kL25Y1bf4jM387My9qXYimlwGgn76fmU8BfjMi/gQ4onE9 U+0g4LmZ+dxJoeAO4LKIuLTWuhq4lO5OwRXAXW3K1BTbE3gksAQ4upSyNDOPAo5i8Lx7DK/s78+V mflnwCeA2roYTT8DQH9V4OOZ+SngtyLi7cBDG9c0nfYGjsnMYyaFAuga/x/RzU1waa31UuBqujsG a/FRwqgL4FDgYcARpZSjMvNoukb/EXQhAOhdYz/ZNZn5l8DHMez2mgFAdwIfy8y/B141uCPw4MY1 tbQn3dLGR2cmW4WDO4GfAmsj4lpgTa11DTCxXUPXMVFTawHdLfvFwOJSyuLMXETX8B9FN/Ye6HUj vy03ZOb7gQ8Cm1sXo/YMAJpwJ3D6YBW/10XEm+lOqLrPXgwanYmGZRt3D35CFxJuiIjraq3r6Pog XAdM/vrW6St7RphP9/u2cLAdAhxUSlmYmYcAB9MF04cy6SoebOR3wrWZ+V7gNGz4NYkBQFu7HfhA Zn4EeHFEvIHx7Cw4FfbkvitTtnEHYbLN3BcGboiIdcAGYGOt9Va6CY9uoZvnYCNdYNgw6evbpu5t 7Ja5wLzBtj9dgz6xvy+wfynl3r/PzIV0fTMmGv3Z2zqojfsD8p3M/BDwL3QBX9qCAUDbcyfw6cz8 NPCEUsobMvMlODnIsMwGDhtsWzR09xMaJqvcFxLuoQtuk6/uNrLl892bI2Kio1fShYnJ9mew8lxm FmC/SX+3J13DPbn2feh6z+87+N4dzmlvYz4t7oiIM2utHwIuaF2MRpsBQDvje7XWU4E3Aa+OiN+h Xx0GR1Ghexa+YGf/gQ3wWLsuIv6x1vo3mfmz1sVoZnAlKu2KG4D3ZObDM/NFwBfw1qLUyh3A5zPz hZl5WK31rYCNv3aadwC0O+4CPpeZn6O7dfxrEXEq8AwGt5ElTZmVg866n6brWCrtFgOAHqgNwBmZ eQbdY4GXR8SrgSPbliWNlasj4p9rrf+Xbp4K6QEzAGiYfkL3iOA9wJNKKS/NzBfQTcoiaddcGRFf qLV+BviufTg0bAYATZXv1lq/C/wBsBQ4OSJOoVsr3ccE0ratjojltdazgPPTVl9TyACg6bAKWDW4 M/Aw4Fcj4leBE4E5TSuT2toE/FtmfgX4MnC1bb6miwFA0+1K4CODiYZmA08rpfxqZj6Tbr527w5o nCWwKiLOrrV+FTiPrje/NO0MAGppM3B2rfXswf5C4JdLKcdl5knAsThUVTPfmog4p9Z6DvDvwI1e 5WsUGAA0StYBy2utywf78+k6E56UmccDT2SreeClEXMPcHlErBg0+P8G3GSDr1FkANAouxWYuHKC bsrZ40spJ2TmE+nuEOzbrDqpm4r5woj4bq31PGAFcIsNvmYCA4BmkpuBL9VavzTptUXAMmBZRCwD ngwc0KI4jb1bgf+KiJW11pXASuBSoNrgayYyAGimu3awLZ90Et46FPwycGCb8jRD3QJcYmOvcWYA 0DjaOhQE3fDDI4ElpZQjM/Mo4GgMBn23jm7s/eW11svoGvnL6UaruICSxpoBQH2QwJrB9pVa6+S/ WwAsBpaWUpYAizNzKV1YmDXdhWrKrKUbfre61rqK7nfhB8B1YEOvfjIAqO/W093eXblVMNgbeARw OHBYKeUw4KGZeQRwGN1jBj8/o+FuulXwromIq4Braq0/Aa4BrgZ+zGCsvQ29dB9PYNK23cFgBkOA rcIBdJ+dRXRh4N6QkJkPBR5MN6fBgXRBQrtvM3Aj3a36n0XE1o371XRX9/eADby0KwwA0u65m64R uoZu6Ne2QgJ0wxQP5r5AcCBwSCllIXBgZh4IHDL4+33p5j4YZ7cMthuAGyJiHXBjrfWGwWvr6Br8 if1bJ/9jG3hpeAwA0tSaaPCumPzidsLChP2AuYNtIhRM7O8PzAPmllIm9mczaU2FzNxr8L0Ttt7f c3CMyTYCd03a3wTcOXk/Iibv30Z3db6h1rpx8P2b6B6pTHy9kW7o5sT+LVu/URt0qR0DgDR6bh5s 92sHIWLobKyl8eI865Ik9ZABQJKkHjIASJLUQwYASZJ6yAAgSVIPGQAkSZDCqiQAABVySURBVOoh A4AkST1kAJAkqYcMAJIk9ZABQJKkHjIASJLUQwYASZJ6yAAgSVIPGQAkSeohA4AkST1kAJAkqYcM AJIk9ZABQJKkHjIASJLUQwYASZJ6yAAgSVIPGQAkSeohA0A/+P9Z0q7wnNED/k/ugcw8sHUNkmaO zFzYugZNPQNAPzy6dQGSZpTHtC5AU88A0A+PAJa2LkLSjPAYYHHrIjT1DAA9UUr5/dY1SBp9pZQ3 ta5B08MA0BOZ+Urgl1rXIWmkPTYzX9G6CE0PA0B/7BkR/wDs1boQSSNp74j4OLBH60I0PQwA/XJs KeW01kVIGj2llNOBx7auQ9PHANAzmfkq4E9b1yFpdJRS/tfgMaF6xADQQxHxTgwBkri38f/j1nVo +hkAeioi3jm45bdn61okNbFHKeXDNv79ZQDoscx8TUR8CXCmQKlfFkbE1zLzd1oXonYMAHpmRPwA eGbrQiRNixMi4vvAia0LUVsGAAEcHBFfKaX8FTC7dTGSpsS8UspfR8Q3gAe3LkbtGQA0YVZmvnVw ZXBc62IkDdULImJ1Zr4JmNW6GI0GA4C2dlREfKuUcibw0NbFSHpAHhwRn42Iz+PnWVsxAGhbIjNf Mugb8HZgXuuCJO2S+cD/jIjLgRe3LkajyQCg+7NvRPxlRFwFvBPYt205knZgLvDGiLgiIv58sC9t kwFAO+OAiPjTiPgx8BZgTuuCJG1hDl3D/6OI+ABwcOuCNPoMANoVB0bEuwdB4E3A/q0Lknpuf+AP I2LNoOE/pHVBmjkMANodh0TEX0fE2lLKGcAxrQuSeuaoUsoHI+KnEfE+vOLXbjAA6IGYnZmnRsRF EXEB8EqcWliaKrOAUyLi64MhfW/AZ/x6AAwAGpZlEfGPEXEl8D+Bw1sXJI2JI4A/jYhrIuKLwElA tC1J48AAoGF7cET8eURcNbgr8EbgoNZFSTPMAuCVg6v9NYMVPBc1rkljZo/WBWisLYuIZcD/Bv49 Mz8BfA7Y2LYsaSTNBp5ZSjk1M58P7NW6II03A4CmwyzgpIg4CfhwRHyh1vr/gHOA29qWJjU1Fzip lPKizHwBMD8zW9eknjAAaLrNH3QcPBXYDKzIzLOAzwPXtC1NmhaHA88upZyUmb8KzLPRVwsGALU0 m/vuDHwAWBMRZ9ValwPfBO5uWZw0JAU4lq4H/8nA4+im225blXrPAKBRsjgz3xARbwDWRcTZtdZz gXOBHzauTdoVRwJPK6U8PTOfBRzYuiBpawYAjaqFmfmKiHjFYP/6iDiv1no+sAK4EPASSqNiMXB8 KeW4zHwOcBiAV/kaZQaAfvga3bjhZ7Uu5AE4eLBC4UsG+9dFxLmDOwTnAZcB97QrTz0yCzia7gr/ hMx8GoMpeMekwT+bLlw/u3UhmloGgB6IiKtrra+jewb5YQZXJzPcIZn50oh46WB/I3BxRKysta4E VgKXArVZhRoXi4Bl3Des9Ti6cfrj0uBPuDYz3wacUUo5bczem7bBANAvyzPzG8CbI+JtjNc443nA cZl5XMS9k6TdCvzXVqFgNT460PZt3dg/GTigbUlT7u6I+Eit9Y/pPjPqCQNA/9wGvDMzPxsRHwJO bF3QFJrPL4aC9cCqiFhda72ULhBcCvykUY1q4zDgKGBpKeXozFwCLGFwZd8j52TmGzNzdetCNP0M AP21KjOfARwfEX8O/ErrgqbJAuD4zDx+UigAuAP4cUSsqrWuBlbRhQP7Fsxsi+ga9qWllCWZuRR4 DLDvxDf09Fb3tzPzT4BvtC5E7RgAtCIzT6QLAn8BnNC6oEb2BpZk5pKtgsGdwE/p5ihYC1xba10D TGxXY0BoaQFdD/zFwOJSyiLg0MxcTDcUb97EN/a0od/adzLzXcDy1oWoPQOAJqzIzKcDz42IPwMe 37ieUbEXg8ZlogHZxp2Da4CrI+KqWuvVdI8T1gHXAdcPvr5zGmseB3sDC+nWuT948PVDSylHZObh dCvkHcZW/Vhs5Lfre5n5p8BXWhei0WEA0Na+nJlfAZ49mJDn2bhq5P3ZG3gk8MjM3DocTLaBLhCs A26IiOtqreuAGwav3wDcQjeaYQNdZ6xxmQlxD7r+GPsP/tyXrkE/FFhYSlmYmYfQrRq5kG5I3f7b OpAN/C6pwFcz80PcN7RPupcBQNuSdCeOrwKPLKX8Tma+mknPTbXL9h9sR0HXkN1PWJiwmS4Q3ALc TBcKNkbEvSGh1roRuH3w/bcP/s2EjcBdk/Zv5r5hkTk4xkRtE8UUYL9J/2ZPJt1Gp5u+eZ/B1/uU UuYxaNwzc+LreYNj7Dv4evb9vUkb9aG7OSI+Xmv9W+BHrYvR6DIAaEeuqLX+HvDHwMsj4n8Aj25c U1/MHmxbTCM7ucHciRAxpWy8R8oVmfl3wGmZuWGH363e89audtZG4PTM/KXMfDbwL3TPvyW1sxn4 f5n5zMw8EngP993Zke6XdwC0qxI4OzPPprvN+/zB0r7P4L7byJKmTgX+IzM/C3wKuLFxPZqhDAB6 IG4GzsjMM4CHAC+KiN+kW/pU0nBdmplnAp8Afty6GM18BgANy0+BD2bmB4HHlVJOzczfoJuIRdLu uTYiPlNr/STdCpjS0BgANBUurLVeCPw+sBSYWMVvSduypBlhTUScVWv9LN2MfS5opSlhANBUW0U3 7fA76ZZQfX5EPJdukRV//6RuvodvD+bf+AJwmaMrNB08AWs6XUr3HPPdwFzgxFLKyZn5XLo+BFJf rIuIb9Zaz6Kblnd964LUPwYAtbIJWF5rXU43euDRwNMj4gTgaXQzwknj4gbgvMw8D/gm8IP0Ml+N GQA0ChK4BLgkM/9m8Npi4KRSyvGZeQLdvO/STHE93fz7K4Bz6Drw2eBrpBgANKrWAKfXWk8f7C8G ji+lHDeYiOjwdqVJv+C6iPhWrfV8YAU2+JoBDACaKdYAa2qtZwz2FwHLgGURsWzw9aGtilOvrAdW R8TKWutKYCVdR9fGZUm7xgCgmerawbZ80onXUKBh22ZjD66DoJnPAKBxcn+h4JhSypLMPAo4EpjT pkSNqNuAyyLi8lrrauBiusb+WrCx13gyAGjc3RsKat1iPpVFdBMTLS6lLM3MJXT9DB6GaxqMs/V0 E+2srrWuAlbTXdFfBVQbevWJAUB9NREM2CoYLACOAo4upTwSODwzD6PrdHgoMGua69SuuQdYC1wd EVcD19Rar6Cbg+IyBuPtbeglA4C0tfXAfwD/sVUwmLCA7k7BYrq7B4uAQzNzMfAIuhUSNXU20wW3 NRGxFri21rqGQSdR4CfAXWAjL+2IAUDaNevpng2vhF+4ewBwAHAI3URGBw/+PLCUsjAz792f9Gff Hzck3XK26yb+jIjra63rJr1+/eDP64Cb7v2HNvDSA2IAkIbrJiY1UhO2czdhFl0ImAgEB9BNkTwH 2K+UMn+wPxfYPzPnTdpfMOnreUN/FztnI92MjpvogtEmYFNEbAQ2TOzXWm+lWzp64nt/zqQGn259 +3vZsEvTwwAgtXMP3dXt9dv6y+2EhvuzN1uObth6fy+6wDBhz8Gfd016bRNw56T924A77mf/F9iA SzODAUAaH3ewg8ZZkiaU1gVIkqTpZwCQJKmHDACSJPWQAUCSpB4yAEiS1EMGAEmSesgAIElSDxkA JEnqIQOAJEk9ZACQJKmHDACSJPWQAUCSpB4yAEiS1EMGAEmSesgAIElSDxkAJEnqIQOAJEk9ZACQ JKmHDACSJPWQAUCSpB4yAEiS1EMGAEmSesgAMLruGuKx5g3xWJLG3/whHmuY5zINkQFgdG0a1oEy 84hhHUvS+MvMhw3xcBuHeCwNkQFgRNVaNwzxcE8AFgzxeJLG14OAxw/rYLXW9cM6lobLADC6rhzi sfYEXjnE40kaX68C9hji8dYM8VgaIgPA6PrRMA8WEX8EzB3mMSWNnXkR8YdDPuaPh3w8DYkBYHRd Amwe4vEeXEp59xCPJ2nMlFLeCxw6xEPeDqwa4vGkfoiI8yIih7jdA7yg9fuSNJJeFBF1yOecb7Z+ U9o+7wCMsMz8xpAPWSLik8CyIR9X0sz2xIg4A4hhHnQKzmFSbxw55DQ+sa2nGxkgSY+LiJum4lwD PKr1m5NmrIi4cIpCwM+Bp7Z+f5KaenpErJ+ic8zK1m9O989HACMuMz82RYdeEBHnAL85RceXNNp+ KyK+Buw/FQefwnOX1Bt7R8S1U5TQMyKylHIGMKf1G5U0LWaXUj44leeUiLgOmN36jUrj4C1T/GHN iLgYeEzrNyppSj02Ii6Z6vMJ8Eet36g0LvaOiB9OQwi4czBXwN6t37CkoZoDvDMi7pyG88iP8epf GqoXTMMHd2K7BPiV1m9Y0lC8MCJ+Ml3nD+DXWr9haexExBenMQRkKeVM4IjW71vSblkcEcun85wR EV9o/aalcXVgRPxsmj/Qdw46CS5u/eYl7ZTDBp38Nk/zueKnwIGt37w0zk6IiLun+YOdEXFHKeU0 4CGtfwCStukhg4b/9gbnh3uAZ7T+AUh98LYGH/CJ7fZSygeBRa1/CJKAbqGvv2lwxX/vBry59Q9B 6o1Syt82DAETdwTOBE5q/bOQempZKeW0Rlf8926llP/T+gch9c0eEfHlxiFgYrsAeDUO/ZGm2j50 M/itHIHPfUbEl4A9Wv9QpD6aExFfG4GTwMS2YfB44GGtfzDSmHkw3Tj+dSPwOZ/YvkIXSCQ1sldE fG4ETgaTt3siYgXwRuwVLO2u/YBXRjeU764R+FxP3s7CO37SSNizlPKZETgpbGvbHBH/ArwQTxjS jswGXjQI9c069d3fVkr5J2DP1j8oSfcppZS/iIja+gRxP9v6UsrfAScCs1r/wKQRMQs4sZTydxGx YQQ+p9vbainlz4Bo/QPTcPg/cvy8JCL+gdFf3e+miPi3WutZwBeBDa0LkqbRXLpG/+TMPAU4tHVB O7A5M18DfLJ1IRoeA8B4WhYRn2XmdMa7C/hWZn4JWA5c0bgeaSo8CjglIp4HHM/MuY2+JjNfDHy/ dSEaLgPA+Nq3lPI3mfnK1oXshh9GxFm11q8C3wY2tS5I2g1zgeNKKc/JzJOBR7YuaFdFxMdrrW8E bm1di4bPADD+XhQRpwEHtC5kN90NXBwR59Razwe+hY8LNJrmAk8Gjo+I44CnMnOX1t6Qmb8DfLp1 IZo6BoB+eEhEfAQ4pXUhQ3A38L2IOK/Wei6wAq9O1MZ84PhSygmZeQLweMZjUpx/HTT+P2tdiKaW AaBfnh8RHwIOa13IEN0NXBQR36u1XgBcAKwevC4Nyx7AUuDxpZRlmflE4BjGo8GfcHVm/g+6fjjq AQNA/8wB3hwRb2Xm3p7ckbuAKyJiZa11Jd1dgouAe9qWpRliFnAU3Vz7yzJzGXAsoz+yZnfdFREf rbW+A9jYuhhNHwNAfx0dEX8B/Dr9+D3YCHx/EApW090lWA2sb1uWGnsQcDSwpJSyNDMfR9fYz2tb 1rRI4POZ+Q7gstbFaPr14cSv+/eEiPgr+ruW93pgdUSsGgSDVcCawabxsYDuFv6SUsrizFwKLKEb KtvH8+D5mfk2uk616qk+/uJr254ZEe+i68gkuIkuGFxWa70KuBq4arCtBWqzyrQthW4ynSMmtlLK EZl5JF1DP1NHwQzb9zLz7cA5rQtRewYATRbAsyLiLcCvtC5mhN0JXANcHRFX1Vonh4OrgGuxv8Gw zaJbEe9wtmzgDx+8dhiwV7vyRt43MvO9wNmtC9HoMABoex5bSvmDzHw5ztu/OzbTBYG1wPqIuLbW upbukcO9r9MNterrvAaz6Z7BL6C7el8ELCilHAosyszJry9kvHrcT4cKfDkz/xL4TutiNHoMANqR Rw6CwH+jHx2jWtgErKN77LAR2BgRG4GbgVtqrbdOvE4XGjZO2m6mCxu3b3W8O4dc4150E91M2Ieu Ad+P7vdiYlsw+HN+KWUesC+wX2ZO/p4D6Br0ycfT8NwaEZ+stb4f+FHrYjS6DADaWfOBV0TE6+nG P2vmuIUtH0lsb+TDgklfz6JrvDVzXJSZH6Obvc/JsbRDBgDtjmWllNdm5ivwKk5qaXNELK+1no4d +7SLDAB6IBYAL42Il9OtbubvkzT1KrAiMz8NfIb+9iHRA+QJW8PyELqFh04FlrUuRhpDqzPzs8An gB+3LkYznwFAU+GXSikvz8yX0g3ZkrR7roqIz9RaPwVc0roYjRcDgKbaUuDkiDgFeAr+zkk7snrw XP8s4Hy6KXulofNkrOm0mG5Fwucys9dKl4bpDuBbmfll4AvAlY3rUU8YANTKHOAppZRTMvP5dLO5 SX1xXUR8vda6HPga3VBNaVoZADQqjgSeVko5ITOfTjftqzQufhoR36y1ngecC/ywdUGSAUCjahFw XCnlpMw8nm5BF2mmWBsRK2qt59A9x1/VuiBpawYAzRSHAycM7hA8DXhE64KkSa6IiPNqrefSXeFf 07ogaUcMAJqp9gMeQzcr4bLMXAYcjb/TmnprgZWZuRJYCXwXuKFtSdKu82SpcbIv8EsYCjQ8Wzf2 36FbuEma8TwxatwtAB4HHFtKOTIzjwaOoluRTppwE3BZRFxaa70MuIiuwXeaXY0tA4D6agHdvARL SylLgMWZuZRuNMKsppVpKq0FVkXEmlrrarrOeWsGm9QrBgBpS/vQhYAjgUeVUg7PzMOAw+g6Is5u WZx2aDNwNXBNRFxTa72absjd5YPt9pbFSaPEACDtmgV0QxQPBRaXUhbT3T2YeO0IoLQrb+ytp7uK v3ZwFT9x9b4WuBa4im61PEk7YACQhmsfuiBwEHAgsBA4qJRyEHBgZi4cvHbw4O/3aVXoiLgduBG4 HlgXEeuAG2ut19N1tls36e+vwyt4aWgMAFJb87gvEMwH9h+8NheYW0pZMPE1MC8z96ebRnku3aiH fYE96YZFTqebgbvoprC9BdgE3BYRG4CNg/1Ntdb1E18PXt8A3ErXoN8weF1SAwYAafwEXZCYbMEO 9tfvYH8DrkonSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIk SZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIk SZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIk SZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIkSZIk SZIkSZIkSdKU+/8B8UD79J00AfcAAAAASUVORK5CYII= "
+ id="image7444"
+ x="42.921436"
+ y="103.45746"
+ style="stroke-width:2.08285" /><image
+ width="34.06498"
+ height="34.06498"
+ preserveAspectRatio="none"
+ xlink:href="data:image/png;base64,iVBORw0KGgoAAAANSUhEUgAAAgAAAAIACAYAAAD0eNT6AACAAElEQVR42uydd3iUVdqH75n03isJ pJDQe+9FqiIIYsFe97Ouurrrqrtrd9V1197ddRVRFBUQQaR3CDXUQEgCJCQhvffMzPfHAItKyTlT 3pnJua9rLhDzvOecSTLv8z7l94BCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKhUKh UCgUCoVCoVAoFAqFQqFQKBQKhUKhUCgUCoVCoVAoFAqFQqFQKBQKG6LTegMKhULhooQBMUDc6b8H A0GnX8FAyK/+2wMIANx/dR0vwPdX/1YBGIEqoBWoAVqAWqAJKANKgVNAyTmvM//doPWbo9Ae5QAo FAqFON5AZyAFSADi+d/NPgbocPprHJUa4BiQffqVc87fczE7EwoXRzkACoVCcX50QCLQDUjFfLM/ 84oH9Fpv0Ea0YnYCDgPpwJ7TrxzApPXmFNZDOQAKhUIBfkBPoM/pV+/Tr0CtN+ZAVGN2CNIxOwS7 gIOYUxEKJ0Q5AAqFor3hDvQChgFDTr9ScN0neltSAWwE1p9+pQMGrTelaBvKAVAo2hdhF3iFn/7T F3PR2bn4AZ7n/PeZYrNmoA5z0Vn9OX82ApVAOeZitHP/1OLmEAiMAYZjvukPPH0mhfWpxuwQbADW ATtREQKHRTkAro0ec3Vx8Om/B53+95CL2Jz5EK/G/EFee/qlioKcA0/MeeszBWqdz3l14rcV5vam CnN1ehlQBJwATp5+5Z7+Mx+zcyGLHzASGHf6NQBw0/jc7ZUiYMnp10pU94FDoRwA50OHucI4EegI RGKuPI46/e+h/O+mb8385ZmWowbMjkHx6VcRUIi5tajg9H8Xn/57ndZvlovjj/nmdiaM3Q/zz4Sz 3+xMmNvV8oCjQAZwBHNR2lHMTuqv6Qpccfo1EnNLncKxqMfsBJxxCIq13lB7RzkAjos/0ANzIVIP zE9wSadfXhZc157UY37Cyz7P6xiWPeW1N3SYi9SGYr7ZDwa64/w3e1EMwHHMzkAG5ojHFUCy1htT CGEEtgBfAN9griVQ2BnlADgGAZg/0Idizk/2xvyE78rfHyPmJ7xsIAtzNfF+YB/m8LDCnLKZCEw9 /YrRekMKhQ1oxBwR+BxYjrkNUWEHXPkG48hEAxMwFyYNxdxn3N6e5C5GAf9zBvaffh2ifUQM+mC+ 2U8BRqB9zl6hsCdFwFfAZ5g7ChQ2RDkA9sEXGIv5pj8BcyhXvfditGCOEmwDtgNpmMPArlBhnATc Atx8+u8KhcLcQfAm5hRBe3D+7Y66CdmOEMy5yZmYn+Z8Lbuc4jxUAzswOwNpmB2DU1pvqo0EAddg vvGPRP0uuhzu7u6EhoYSFhb2m1d4ePjZv/v7+xMcHIxOpyMkJASdTkdwcPAFr1tdXY3BYDj7Z1VV FUajkaqqKhobGykpKaG0tJRTp06d/fuZ/66urtb6bZGhEHgf+BBVOGhV1IeOdQkAZgNzMD/xq0pk +3MEcw/yesx9yPlab+gcdJgjQLcDVwE+Wm9IIY+npydxcXEkJSWRlJREbGwsMTExZ/87ISEBvd6x tIWam5s5efIkOTk5v3kdOXKE2tparbd4MRoxpwfeBPZqvRlXQDkAlqPHfLO/DZiFEhhxNLL5n0rZ Osy95vbGE7geeAyzAp3CiQgLC6NXr1706NHj7J/JycnExLhWTabJZOLEiRPs3buX9PT0s38eO3ZM 662djzXAc5h/rxWSKAdAnnDgd6dfnbTejKLNZAI/n36tw7ZaBYHA/wG/x6zVoHBg3Nzc6N69O4MG DaJnz55nX652oxelsrKS9PR00tPT2bZtGxs2bKCwsFDrbZ1hDfA0sEnrjTgjygEQpxfmD/QbUSFc Z6cJ2AyswOwQ7MU60846AA9hvvmrYTIOSkxMDAMHDmTAgAEMGDCAESNGEBISYvmF2wE5OTls3ryZ TZs2sWrVKnJycrTe0krMjsBWrTfiTCgHoO2MB54ELtN6IwqbcRL4EVgMrOX8inMXIxh4ArOD6JCz 4N3c3IiIiCAyMpLo6GiioqIICQnB19eX4OBg/Pz88PX1JSAg4ILXqKmpobW1lfr6epqamqitraWi ooLKykoqKyupqKigrKyMgoICampqtD7yWTp37sz48eMZN24cI0eOJC5OBWWsxYkTJ1izZg0//vgj K1as0LKWYDlmR2C71u+JM6AcgEszFngWGK31Ri6Ft7c3MTExxMbGEhISQkBAAAEBAYSEhBAYGEhA QABeXmYRQXd39998yJ+pJD5DdXU19fX11NbWUlVVRVVVFbW1tVRWVlJaWkpxcTEVFS4r4FWLOSqw BLNTcDFxIg/gXuCvmFNDmuLt7U2PHj1ISUkhOTmZzp07k5ycTHJyMtHR0XYtTKuvr+fUqVMUFhaS l5fH8ePHOXbs2Nk/jx07RmurbXRfYmNjmTBhAuPHj2f8+PHEx8fb7dztmaamJtauXcsPP/zAjz/+ SF5enr23YMJcLPg4ZqdecQGUA3BhRmEuMhmr9UbO4OfnR+fOnUlJSaFz58507tyZTp06ERMTQ0xM DKGhoXbfU0tLy9lWo5MnT3Ly5Eny8/M5ceIE+fn55OXlcezYMZqbnbqNtxVzvcACYCHmuQdnuBr4 O+bBO3bHz8+PIUOGMGDAAPr06UOfPn3o2rUr7u7OoR/U3NxMVlYWGRkZHDlyhAMHDpCenk5mZiYG g9jgQL1ez8CBA7nyyiu54oor6Nu3Lzqd+ojTmj179rB48WK+/PJLjh49as+l6zD/bv4TcweB4leo 347fkgj8A/MHuybodDqSkpLo378//fv3p1+/fvTq1YvY2Fit3xspDAYDeXl5ZGVlkZ2dffYD/+DB gxw/flzr7QkfB3Pl8VLMXR8j7Ll4aGgoo0aNYtSoUYwcOZIBAwY4zc1ehLq6Ovbt28fu3bvPFp7l 5v62gcPNzY3Ro0dz/fXXc9VVVxEZGan11hUXYevWrXzxxRfMnz+f8vJyey17DHgUs/OuOAflAPwP P8w5/j9g5/ytt7c3Q4cOZezYsYwaNYoBAwYQFBRk+YWdgOrqag4cOMCBAwfYv3//2T/LytQ4gDP0 6dOHyy+/nCuuuIKhQ4fi5tY+VaNPnDjBhg0b2LhxI4cOHWLmzJnceOONREdHa701hSDNzc0sW7aM zz//nGXLltHUJFpuI8Uq4GHMiqIKlANwhusxh4ns8oit1+sZOnQoEydOZOzYsQwdOhRvb4esGdOM wsJCDhw4wL59+0hLS2Pbtm1a5BI1QafTMWTIEObMmcOsWbNUsZrCpSktLeWTTz7hvffes8fveAvm tMCLKHnhdu8ARAHvYodwv5eXF+PHj+eqq65ixowZREVFaX12p6OgoIC0tDS2bt1KWloaO3fupL6+ XuttWY3u3btzww03MGfOHJKS1EgARfuitbWV77//nrfeeovNmzfberkDmBU5d2p9bi1pzw7ANcB7 2LBq293dnSlTpnDjjTdy+eWXExioWsKtSWtrK/v372fbtm1s2bKFNWvWUFBQoPW2hPDy8mL27Nnc c889jBw5UuvtKDSkut4cBm9qbqW5tRWjyURtQzNuOh1+Pp6/+Fo3vR5fb098vTxwd3MsuWFrsHPn Tt566y2+/vprWxYQt2KO/D6NeMuvS9AeHYBw4N/AdFst0KVLF26//XZuueWWdq8iZm+OHDnC2rVr z75KSkosv6gNSEhI4L777uP2228nPFzzzkGFlWluNVBYVk1hWQ2lVXVU1DZQVl1PRU0DFbX1VNc1 UdfQTF1jM7WNzdQ3yt/k9Hod/t6eeHt54OXuTpC/N6EBPoQE+BIe5EtogC9hgb6EBfkRFuhLbFig 0zgN+fn5vPzyy3z88ce2rBPYhzkasFvr89qb9uYADMU8WtLqDcGenp5cf/31/O53v2PECLsWhisu gMlk4sCBA6xdu5Y1a9awbt26X+gcaEH37t15/PHHmTNnDh4ealaUs2I0msgvqyK/pJqCsmrzzb68 xvz30mpKq+swWUNT0ga46fVEhwYQHxlEXEQQ8RHBxEcG0TEyhI6Rwej1jndbOHnyJC+//DKffPKJ rRyBVuCF0y+x/lMnxvG+07bjd8DbmAezWI2AgADuvvtuHnnkEVWs5eC0tLSwadMmfvrpJ5YuXcqh Q4fstvbAgQN56qmnmD59usNNiFNcnOLKWnIKyjmaX0pOQRlZ+WXkFJbT1GIbASMt8fHyIKVDOF07 RtAl3vxKjg3Dw90xOk/y8vL4+9//zr///W9bpQbWATdgHkHs8rQHByAA+Bi4zpoXjYqK4ve//z33 3nuv0g93Uo4fP86yZctYtmwZa9asoaGhweprpKSk8OKLLzJ79mwlSuMEFFXUcOBYEfuPneLQiSKy Tpaezc23Vzzc3UiJC6d/SgcGpHagb3IsAb5emu4pNzeXv/71r8ydOxeT9UMtxcBNmOcLuDSu/onU E/geK6q0BQYG8vjjj/PII4/g46NmAbkKDQ0NrFixgu+//54lS5ZYLHEcFRXF3/72N+6++24V6ncS TCZIy8jly9V72HrohMOG8LVGr9eRGhdB/5RYBnaJY2BqHL7eVg2stpm0tDQeeugh0tLSrH1pA/AM 8BJg1ORwdsCVHYCxwCLAKoo6Hh4e/O53v+Ppp58mIiJC67MpbEhLSwvr1q3j+++/Z9GiRZw6darN tu7u7jzwwAM899xzFx2oowWtBiMFZdUUlFZTVl1HRU0DJVXmP6vqGmlqaaWuoZn6phaaWlp/W5im 0xHg44Wnuxvenu74+Xji6e6Gr7cnPp7u+Hh5nC08Cwv0IzzQl/BgP0IDfJ2m6OwMOYXlfLU6nWVp h10y1G9NPN3dGNgljjF9khjVO5HIYH+7rm8ymZg7dy5PPPGELbqA1mJOCbT9Q8CJcFUH4Frgc8Aq caqZM2fy8ssvk5qaqvW5Lkh9YzOF5TVU1jacrjRuoLK2kcraBhqaWswf6s2tNLW2Ut/YgsFwfqfW w90Nby93vNzd8fJ0P/vBHuDrRaCv9+k/vQj08yYs0JeIYH98vVz3CddoNLJ582a++uorFixYQGlp 6QW/dujQobz//vv07dtX0z03tbSSnV9G5slSMk+WcvxUOSdLqzhVXoPRqM1jbWiADxHB/nSKCqFj VDAJUSF0jDIXnfn7aPP02BYqahpYsH4fX67eQ21Du9eNuSQ6HXTrFMXYPkmM7ZtMUoz95pPU1tby 0ksv8frrr9PYaFXp/3zMXWMu1yXgig7Ag8AbgMWPHB06dOD999/nyiuv1PpMANQ1NpOdX0ZWQRnH T1X8ovK4uk67WRc+Xh5EnG4xigkLJC4i6JxXMKEBrpEqaWlpYeXKlcyfP59FixadHXUbEBDAq6++ yu9+9ztNCvwKSqtJzy4gPauA9OxCjp8q1+xGL0NYoC8J0aF0iY+gR0IU3TpFEh8RjCOVTFTXNTJ3 5W6+XruX+qYWrbfjNHTvFMkVQ7sxZXAXgvzso3aamZnJHXfcYW0xoTrMdQGL7HIIO+FAv2JWOcvT p1+WXUin44477uC1114jODhYk8PUNTazL6eQvdmFZOaVkJVfRkFZtSZ7sRRfb0+zMxD+P8cgISqE lLhwzYuJZKmrq+Pbb79l7dq1PP300yQmJtpv7cZm0jLy2HzgOFsPnaC4QrPZ6zYjwNeLbp0i6dEp iu4JUfTrHEuwv/aOZEVNA//9eSffrt+vUgMCeLi7MaJnAtOGdmVEzwSbdxUYjUbeeustnnrqKWuq hZowT4h9xrbvlv1wFQdAB3wE3GXphRITE/noo4+YMGGCXQ9QXd/EtkMnzE9xWQVkFZQ51VOcLDGh AaTERZAaH05Kh3BS48PpEB6E3pEe/xyAytoGVu3KYvXuo+zJKqDV4LJ1SedFr9OREhfO4K7xDOoa T7/OsfhomHoqqazj0+U7WLjpIC2t7aZt3CqEBvgwa3QvrhnTm7BAX5uulZWVxZ133smGDRusedmP gfsxzxVwalzlU/Y1zOMeLeKGG27gww8/xN/fPkUsx06Vs3HfcTYdOMberEIMxvb1oX4hfL086Nwh nJS4cHokRNErKZqEqFCHCgnbg8bmVlbvPsrPOzNJO5Snfj7OwcPdjV6J0Qzp1pExfZLo3CFMk30U lFbzxncbWbMnW+u3xOnwcHdj0sBU5ozvQ9eOthvjbDQaee+99/jzn/9MXV2dtS67GpgNVNr+nbId rvCR+nfgz5ZcwMvLi3/+85/cf//9Nt/syZIqlqUd5qe0I+SVVNrpLXJ+Av286Z0UTa/EGPokx9A9 Icpliw+zC8r4bsMBlqVlqMKzNhIfEcy4fsmM75dMj4RouzuL2zPyeG3BBnIK1BhrGfqndOCGy/oy pk+yzb53R48e5brrrmPPnj3WumQGMBlw2jGlzu4A/BVzTkaaTp06sWDBAgYNGmSzTVbXN7Fq11GW bstgX06h6i+2Am56PZ07hNEnOYZ+KR0YmBpHiBMXGxpNJjbuO8bclbtJz3KugUaORmSIP2P7JDN5 UCp9ku03i8NgNPLNun189GMaNe1cPEiW1PgI7rlyCKN6JdnEEWhsbOSRRx7hgw8+sNYlc4HLgCz7 vUvWw5kdgEeAf1lygSlTpvDFF18QFmab8GFuUSXzVu/hx60ZqmDIxuh0kNIhnIFd4hncNZ7+KbGa iZOI0Gow8vOOI3z28y5yCsu13o7L0SkqhOnDu3P5kK5EBPvZZc2KmgbeW7yVxZsPYlTevhTdO0Xy f1cOZUTPBJtc/+uvv+buu+8+28ljIfnARMwRAafCWR2AOcA8S/Z/11138f777+Pu7m71ze3OzOeL VXvYtP+Y+gDQCDe9nh6JUQzuGs+Qbh3pkxTjUENOjCYTy7cf4YMl2ygodc7uDmdCr9cxvEcnpg/v zqheiXbRtt+XXchzc1dx/JRlqpLtmV6J0dx31XAGdbH+nJWjR49y7bXXkp6ebo3LFQOTgL32fYcs w3E+EdvOAGAjIB3v/ctf/sJzzz1ndW323Zn5vL1wM/uPuaRolFMT6OfNsO4dGdkrkeE9OtmtJ/l8 bD5wnHcXbSHzZKnlF1MIExroy+zRvZg9prfNNSqaWwx8+OM2vli5RxVxWsD4fsk8PHsUsWGBVr1u Y2Mj999/P//5z3+scbkKYAqwXYO3SApncwCigR2AlDuo1+t56623rF7sl11QxtsLN7Np/3Gt3x9F G9DrdfRKjGZkr0RG904kOdY+FeS5xZX8Y/56th46ofVboAA8PdyYMqgLN1zWz+ZdBIdOFPPc5yvJ yldFgrJ4ebhzy6T+3Dp5IN6e1o3cvvrqqzzxxBMYLXfSqoFpmB9SHR5ncgC8gDXAcBljT09P5s2b x+zZs622odKqOt5dtIWlaYfbRc++q5IYHcplAzozoX+KTW4EzS0G/vvzTv77806aW1TPuCMyuFs8 N03oz/AenWy2Rkurgf/8tINPl+9sdzoO1iQ6NICHrx7JhAFWm/EGwMKFC7n55put0SpYh7kmYKtG b1GbcSYH4N/AHTKGbm5ufPPNN8yaNctqm1myNYPXF2xo96NCXY17pw/jzsut1xGyN7uQZ/67UrV8 Ogk9E6P53bQhNnUEDucW8+Qny8ktrtT6uE7NqF6JPHnjeKsWd+7atYvp06dbY6hQBTAG2K/hW3RJ nMUBuBd4T+qAOh2ffvopt956q1U2Ulhew4tfrGbboVyt3xOFlZk1qid/vmGcVVQIm1sNfLhkG3NX 7lbRISekd1IMd08bzLDutnEE6hubefmrdSxLO6z1UZ2aQF8vHrtuDJcP6Wq1a548eZLp06dbQy+g EBgFOKxKlDM4AF2BXYCUZuTbb7/NAw88YJWNfLdhP29+t0kNA3FBpg3rxtO3TLRK73FOQRlP/nu5 yve6AL2TY3hgxnD6p3awyfWXpR3m5a/W/Xb0skKI0b2TePLGcYQHWScaUFtby8yZM1m1apWll8rB 7AQ4pLiH7XthLMMDWAZIueEvvvgijz5qsUIwDU0tPPv5Kv67fCctKnfncozvl8zzt0+2SpvgT9uP 8Oj7P7rkgJ72SFFFLUu2ZpCVX0rXTpFW7x5JiQvnsv6d2ZtdSGmV1WRq2x0niir4YWsGseGBVinq 9fT05Nprr2Xfvn1kZmZacqkQzGqBXwMNWr9Pv8bRHYDngOtkDO+9915efvllizdw/FQF97+1iB2H nVbtUXERhnbvyKv/dwXubpaN8W1uNfDa1xt4Z9EWVeDlghw7VcH3Gw9Q29BMj4QovDysV4Ue5OfN tGHdqKlv4uDxIq2P6rQ0tbSyencWFTUNDO4Wj5uFo7nd3d2ZPXs2R44c4eDBg5ZcKhIYC8wHHCrU 48gOwAjMhX/C38WRI0cyf/583NwsO96aPVk89O4S9TTnovROjuHNB2ZY/GFeUdPA799ZzFo1EMal MRpN7MspZPHmQ/h4edCtU6TVtETc9HpG9EwgOjSArQdPYFB1I9IcOlHE1oMnGNKto8Xjxt3c3Jg1 axa5ubmWCgbFAX0wRwIc5pvrqA5AAPAzIBzLiYmJYeXKlQQHB1u0gW/W7eO5z1fRrEZ9uiSxYYG8 9/Asiz8g8koqufeNhWTmKVGf9kJjcyubDxxnw75jdOsUZdUq9C7xEYzomcCWgyfUICgLKKmq44ct h+gYGUxSTKhF19Lr9cyYMYOqqiq2bdtmyaVSMdeyrdT6/TmDozoArwJTRY28vb35+eef6drVsorQ D5Zs4+2Fmx3HTVNYFX8fT95/ZJbFqmK7M/O5781FKkLUTimrrueHLYdobG6hT3KsxWmkM4QH+TFx QCr7c05RpH62pGlpNbB691Eam1sZ1DXeomiNTqdjypQp1nAChgMngHSt3x9wTAegJ5Kh/w8//JBp 06ZJL2w0mvj7l2uZt8pq4yIVDoabXs8/751Gr6Roi66zaf9xHnl/CfWNqiOkPWMymdibXcjPOzJJ jA4lLiLIKtf18/Zk6pCulFTWcSSvROtjOjV7swvJPFnK6N6Wz4CYPHkyJ06csDQdMBVYiwOMEXZE B2A+kCxqdN111/Hiiy9KL2oywTOfreSHLYe0Pr/ChvzxujFMHpRq0TXW7Mnizx//RItKDylOU1Pf xLK0w5RW1TGwS5xVhg256fWM6ZOEu5uenZkntT6iU3OiqIJth3IZ3TvRoimhOp2OK6+8kv3793P4 sLSGgztmueAFQJWW74ujOQDXAX8UNYqJieHHH3/E11dKKgCAfy5Yz8JNFlV6Khycy4d05YGZUkrS Z/lp+xH++p8VqtJfcV4ycotZvTuLHglRRIb4W+Wa/VI6EBcRxKYDx5WolAWUVtWxclcWg7vFExYo f6/Q6/VcddVVbNu2jZycHNnL+AHjgC/QsDPAkRwAP2AxIBxDmz9/Pn369JFe+OOl2/ns511an19h Q5Jiw/jnvdMsejJbsyeLv/znZ/UhrLgoVXWNLNmagclkom/nWKsoS6bEhdM7MYZ1e3NU5MkC6hqb +Wn7EbrERxAfGSx9HXd3d2bNmsWaNWvIz8+XvUw00AX4Rqv3w5EcgGcwh0WEuPPOO3nsscekF/1m 3T7e+n6T1mdX2BBfLw/effgqIoLln8i2HcrlTx8tw6Ce/BVtwGQysSszn22HchncNd7ibhOADhFB jOiZwIa9OUqN1AJaWg2s2p1FUkwoiRZ0CHh6ejJr1iyWLVtGcXGx7GW6A0XATi3eC0eRAo7FrJcs JLOVkJDAvn37CAgIkFp066ETPPT2DxhN6onOlXnxzikW5f33ZRdy/1uLaFAfugoJAn29ePb2SYzq lWiV650qr+G+NxaqYUIW4qbX88xtE5k6uItF1zl+/DhDhgyxxAloAAYCdi9Ac5QIwAuYhX+E+PTT T6VD/4XlNTzw5iIam1u1PrvChlw+pCt3XzFY2j6nsJx731hIndJqV0jS1GJgxc5MmlsNDEyNs1g8 yN/Hi8v6d2bLwRNU1DqcuqzTYDKZWJ+eQ2SIP107RkpfJzg4mGHDhjFv3jwMBqn0jAfQH/gUO4sE OYIDEA18fvpNaDPjx4/n73//u9SCzS0Gfv/2Ik6WaFqAqbAxkcH+/Ov+K6WV/qrqGrnv9YWUKI12 hRVIzypgV2Y+w3p0sqgSHcDX25PJg7uw48hJSirVz6csJmDj/mME+HrRK1G+Nbhjx4507NiRRYsW yV4iHsgHdtvz/I7gALwAjBQxcHd3Z9GiRURGynltL325lk37j2t9boUN0eng1f+7XHowSEurgYff XaJ6sBVWpbC8hhU7j9IvpYPFCoJeHu5c1j+FXZn5FFcqwSBL2HboBEF+3vS0wAno27cvNTU1bN26 VfYSI4BPsOPQIK0dAKmn/3vvvZfbbrtNasEVOzN5b7H0N0jhJFw7tg/XjZPvDHnxizWs2yvd4qNQ XBBzJfphs0ythZPrvDzcmTQwhfSsAk6V12h9NKdm66ETxEUEkRIXLn2NCRMmsGPHDrKysmTMfQAj sNpeZ9baARB++g8NDeX777+X6vkvr2ng4XeXqLy/ixMbFsg/7rlCuuXvuw37+feyHVofQ+HCtBqM rN6ThV6no19KBywpC/Bwd2NC/87sPHJSRQIsZMO+Y3SJj6BTVIiUvV6vZ9q0aSxcuJCysjKZSwwE /gvYxZuzjni1HOHA70SNnnjiCcLC5LzmV75aS6UqmnF5Hp8zFh8voaDSWY7klfDPBRu0PoKiHWAy meeO/OU/y2lusay339fbk7cenGFRMZsCDEYjT3z8E7szpXv7CQoK4vPPP8fdXar2yAf4g73Oq2UE 4AEEB/6EhYUxd+5cvLzEe2pX7Mzkk6XbNTyuwh5MHJDC7VMHSdnWNzbzwFuLKa+u1/oYinZEdkEZ e7ILGNe3M54e8h/JXh7ujO+XzOYDJ6ioUQ86shiMRtbsyWZo945EBMnVaXTo0IHm5mY2btwoY94D eA9osvVZtYoA6IF7RI0eeeQRqZ7/6vom/vH1eo2OqrAXAb5ePHrtaGn75+au5kRRhdbHULRDdmfm 87t/fkuphR0nwf4+vPfwTDpGBWt9JKemrrGZh95eTKEFdRV/+9vf6NWrl4xpIHCXPc6plQMwFRBS xQgKCuKBBx6QWuyTpduVR9wOuHf6UMIlPfZlaYdZteuo1kdQtGMyT5Zyxz8WWCzwExboy/sPz7Ta LIL2SnlNA394d4m06qKnpycvvfSS7PL3YAehPq0cgPtEDR588EGCgsRHbZ4sqWLB+n0aHVNhL5Ji Qrl6tJS3TXFlLa+pCJHCASgoreaufyzgcK60qhwAUSEBvP3gDKtIELdnjuaX8rdPV0irxU6bNo0h Q4bImKYAlk0uawNa1AAkAm8h4N34+/vz1VdfSVX+vzhvDVn5UtWYCifi2dsnSVXumkzw5L9/Uj8j CoehobmVlbuOMqhLPJEWzK8IDfCld2IMP+/MxKAGWElz/FQFBqOJQV3jpew7derE3LlzZUxNwA+2 PJsWEYB7RNe96aabCA8X783cl13Imj1S/ZgKJ2J4z04M79FJyvaHLQfZcuCE1kdQKH5BTX0T97+5 kH05hRZdp39qB567bZJVJhK2Zz5dvoPl249I2U6cOJEBAwbImF4NWCYZeQnsHQFwwyz8I+TWfvTR R8TExAgv9tdPV1BYpsQxXBk3vZ7X7plGSICPsG15TQOPvv8jTS1KF0LheDS3Gli5K4v+KR2IDpUb eAbmUdj+vl5sPagcXUvYfOAEo3olECZRZ2QwGFi6dKmomTewCfOgPJtg7wjAWCBKxGDQoEH069dP eKF9OYXsPirfy6lwDq4Y2pUkyZGeb363ieq6Rq2PoFBckPrGZh58axF7sgosus6c8X2la2QUZppa Wnn281UYjOIjwefMmYOPj/hDCjDTlmeytwNwrajB734nrBUEwGc/77Lz0RT2xsPdjbskJ/3tyjzJ srQMrY+gUFyS+qYWHn7nBw4dL7LoOn+8bgwDu8RpfRyn5kheidS9JTg4mKuvvlpmyStseR57OgAe wCwRg8DAQK6//nrhhXIKy9mwT+m4uzozR/YgNixQ2K7VYOTlL9chWdirUNidusZmHnx7MTkF8sWq 7m56Xvnd5cRFiHdTKf7Hf3/eRXW9uEbPnDlzZJaLB1JtdRZ7OgATMMv/tpkbb7wRf3/xKtjPV+xS H+4ujqeHG7dNGShl++36/Rw7Va71ERQKIarqGrnn9e8tEqsK8vPmjfun4+9j09oyl6a+sZlvJVrL x40bJ9XJBlxmq7PY0wEQDv/fdNNNwouUV9dLV2sqnIfZo3tJtUjVNjTzyTIlCa1wTsprGnjwrcUW Df1JiA7h+Tsmq84AC5i/Jl14foOPjw9jxoyRWW6crc5hLwfAE5ghYhAXF8ewYcOEF/px22FaDeJF Ggrnwd1Nzw2XiReGAvznp+1qIJTCqSkoq+bBtxZTIxGGPsOoXoncPlUugqYwO2JbDh4XtpsyZYrM ckNtdQ57OQDjACGVllmzZqGT8FCXbDlkpyMptOLyIV2l2qIKy2uYv3av1ttXKCwmu6CMP3/8k0UP O/83bSiDu8mJ2yhgpYR0+OTJk2WWigdibXEGezkAk0QNrrnmGuFF9mUXqtyui6PTwU0T+0vZ/nvZ dovHrioUjkJaRi7PfLZSut5Jr9fx8l1TibFAY6A9s3HfMWENkdTUVEJDpdqW5dqdLoG9HICJIl8c ExPD8OHiMsiL1dO/yzO2T7JU339+aRU/blVtfwrXYvn2I3y8NE3aPtDPmxfvnIK7m1ZjYZyX+qYW 9hwV02fQ6XRSujZAN1ucwR7f9Rigp4jBrFmz0OvFttZ4Wj9b4drcMEEu9//vZTtUbYjCJfl4aRor dmZK2/dOjuHBmSO0PoZTsjdbXKBp4ECp2ouutti/PRyAiQiONZw5U1z8aOuhE9Q3NtvhOAqt6BIf Qb/O4qmwvJJKlm47rPX2FQqbYDLBc5+vsmiC4A2X9WNo945aH8XpkFFolHQAuthi//ZyANqMj48P I0aIe6Pr0pXwj6tz/fi+UnZzV+yWku9UKJyFxuZWHn1/KWXV9VL2Oh08c+tEqZka7ZkDx04JRxb7 9Okjs5RTOgA6zAJAbWb48OF4e3sLLWIwGtm4/5iNj6LQkpAAHyYPFBfEKq9pUE//inZBUUUNf/xw Kc2tcoWu4UF+/PXmCSh5gLbT2NzKyZIqIZuEhATc3ITn8AUjOEenLdjaAegJRIsYXHaZuOjR7qMF aqiLi3PViB54eogPr/xm3V417U/RbtiXXcgb326Uth/dO5HZo3trfQyn4rhg55mHhwfx8VLtl1av A7C1AyCs5DN+/HjhRdbusdm0RIUDoNPB9BHdhe0amlpYsE5cslOhcGa+WbePn3fIFwU+PHsknaKE ZFvaNcdPiUszJyUlySzV2dp7t7UDMEjki4OCgqQKJDap8L9LMyA1jviIYGG7ZWmHqVKRIUU75MUv Vktronh5uPP0LRPQ61UuoC0cl5jNkJycLLOU06UAhoh88dixY4VzIwVl1RSUVdv4GAotmTGih5Td AomBHQqFK1Df1MLjHy6joalFyr53coy03HZ7o7CsRtgmISFBZqkIa+/dlg6AL4LiBSNHjhReZHdm vg2PoNCaQF8vLusnHvnafTSfrHz50akKhbOTU1jOP75eL21/7/ShKhXQBsqq64RtIiKk7uVO5QAM BNyFDCTC/7syT9rwCAqtuax/imTxn3r6Vyh+2HJIWiTIy8OdZ26bqFIBl0Cm9TIsLExmqUhr792W DoCQdrFer5eSSNx1VEUAXJkJA8Sf/sur61mXrgpDFQqAl79cy6ly8TA1QK/EaK4dq7oCLkZNfZPw jJH24AAIFQB27tyZoKAgoQVOlddQUKry/65KSIAPA7vECdv9tP2Ikv1VKE5TXd/E3z5dgdEoNzXo vunDiAz21/oYDk1FrVgUIDw8XGYZp0oBCI1skwn/p0vIMCqch/H9OuMmOBMCzGFPhULxP3YfzeeL VbulbH29PXnsutFaH8GhqRcstpSMAEh5DRfDVg6AF5AoYiDjABzOK7HR9hWOgIzy36HjRWQXqOI/ heLXfPDDNunfjfH9OjO6t9BHeruisVlMbCwgQGoEsydWvmfbygFIAYQqtwYMGCC8SKZyAFyW8CA/ +koM/vlRyf4qFOeludXAs5+vkp6L8cfrx+LtKVTX3W4Qbbf09PSUXUra8HzYygEQHlzQu7d4ockR 5QC4LOP7dRauPjaaTKzZk6X11hUKh+XQ8SI++3mXlG1MaAC3TZaaZOfyiEYAPDw8hEfen8bLmvt2 CAcgIiKC4OBgoQVOldcolTcXZtLAFGGbvdmFlFaJ9+QqFO2JT5ZuJ7eoUsr25kn9iQ0L1PoIDofM vBHJKIDrOQCpqeK5XktmXyscm8gQf3onxwjbrd59VOutKxQOT3OrgRfmrcYk0RTg5eHOQ1eLC7a5 OjJKCR4eHjJLOYUDIDS1qHNn8V7vo0rlzWUZ3TsRveBMUpMJ1qihUApFm9idmS8tEHRZ/85S7bmu jE5ihrKXl9S93ClqAIQe6WUiAHnFlTbaukJrhnXvJGyz/1ghxRW1Wm9doXAa/rVgA7UNzVK2f7hm tLCT7srY8a1w+AhAJBAsYqAcAMUZ3N30Uk8Xq3ap4j+FQoSy6nreW7xVyjY1LpypQ6w+nt5pkYkA NDdLOV9WVTizhQMQL2ogkwLIL62ywdYVWtM7OQY/b7Eol8kEa1X1v0IhzLcb9nHohFw91T3Th0rN 6VCYaWmRmtTYZM092MIBEK7eEnUA6hqbKa9psMHWFVozXCL8f+hEEYWSWucKRXvGaDTx8pdrpGSC Y0IDuHZsH62P4BB4e4oX9ElGAOTmO18AWzgAHUS+ODAwEH9/MZ3p/BL19O+qDOsh7gBs2n9M620r FE7LoRPFfLthv5TtHVMGEuBr1bS0U+IjKJBkNBoxGMQGCJ1GrmjjAtjCARCSb4uNFVd7y1MOgEsS FuhLapz4vItth3K13rpC4dR88MNWquvFo8uBft7ceJn4FFdXw9dLLAIg+fQPTuAACKUAoqOjhReQ HW2pcGyGdOsoXE1bU98kncNUKBRmquubmLtCTiFwzmV9CfLz1voImuIjWLfU2CgtYufwDoBQCkDG ASirFhu9qHAOhkuE/3dmnpTWNlcoFP/jqzXpFFeKt9L6eXty00Sh4a8uh2gKoLKyUnYph3cAhGL6 MTHiim/KAXBN+qcK+Y4ApGWo8L9CYQ0am1v5cEmalO11Y3sT7O+j9RE0w1cwAiDpALQA4prDF8Ep HYBy5QC4HDGhAUQGixWDAqQdytN66wqFy7Bk6yFyCsuF7Xy9Pbnhsr5ab18T/Lw98XQXa4esqpKq Y6uw9t6t7QDogDARA5kiwLJqNfDF1ZAZ/VtQVk1eSaXWW1coXAaj0cQ7CzdL2c4e01u4GM4VCAkQ j3xUVEjdy8U9s0tgbQfADxByhcLChPwF87ugNABcjl5J4rUgqvpfobA+G/YdY09WgbBdoK8X04Z1 13r7dkemAFIyAlBp7b1b2wEQnhMZEBAg9PVGk4kK5QC4HL2TxFNBO4+c1HrbCoVL8r6kRPANl/VF r29fMwJkIgCSNQAOHwGwuQNQ19Csqr5dDF8vD1LiwoXt9ucUar11hcIl2X00n3SJKEBcRBBj+yRp vX27IlP8WFJSIrOUw9cAiN3NEXcAGpqsqoSocAB6JEThphf7USytqlPyvwqFDfnP8h1SdjdNaF8t gaEBvsI2hYVSDy8O7wDYPAJQrxwAl0OmAHBfzimtt61QuDRbDpyQEtnqnRwjldJzVqJDxbuX8vPz ZZZyPQdAdA5AQ7NyAFyNnoniBYAHjikHQKGwNf9dvlPK7sYJ7UceWKZ9uaioSGYpq3/oaZoC8PDw wNtbrIJSpQBcjy7x4vr/ygFQKGzPuvRsjp0Srz0b1zeZmFDhjLBTEiVxTskUgHhRxiXQNAIgGv4H 5QC4GqEBPoQH+QnZGIxGMnKV/r9CYWuMJhP/XS4+I0Cv1zFtWDett28XogQjAC0tLbJFgA7vAAjN hfTxEa+eVDUArkWKxPS/oydLlSOoUNiJFTszpeTXpw3rJjzcy9nwdHcjRLAIsKioCKNcJ5tU4cDF sLYDICQC5OYmJp8I0NRsVSlkhcakSrT/qel/CoX9aGk1sHDjAWG7DuFB9E+J03r7NiUqJEDYycnN lRIwMwJShQMXw9oOgND19IKtX2AOSSlch1SJ/H/mSanwmUKhkOTbDftpNYg/tbp6GiAuIkjYJjs7 W2apIqw8CAic0AFQuBYyEYCjJ0u13rZC0a4orapjXbr4jWtC/87Ck/KcifjIYGGbY8eOySxlE9Uz TVMAMg6ASUUAXAZPdzc6RYUI2ZhMSE0rUygUlvHN+n3CNj5eHkzo31nrrdsMmQiApANgk7GnTugA 2OJtUGhBQkwo7m5iPwOnKmqoqW/SeusKRbtjd2Y+2QVlwnbThrpuGiA+UtwByMnJkVlKKm9wKZwu BaBqAFyHxGixp3+Aoyr/r1Boxo9bM4Rt+naOlZqY5wx0lEgBuLIDYPMIgMJ1kPnlycoXfwJRKBTW Yfn2IxiNYg9her2O4T06ab11q6PX64gNExO/bWpqoqBAqp1fymu45Bm0vJ5OoklU1QC4DjIFNMoB UCi0o6Sqjh1HxNPRI3slar11q9MhLAgPd7FW9uzsbFkNAJtEANytfD2hkzU1iedyRXPGCsdFxgE4 LiFLqlAo/oeXhzteHm7o9Xr8vD2pbWg6+2BlNJmobWi+qP2ytCMM6dZRaM1hPTrhpte71Cj3pNhQ YZtDhw7JLGUATtjiDNZ2AITu6I2NjcILeHlYe8sKrYgXrKA1meBkSZXW21YoHJJAXy+SYsPoEB5E h/BAYsICiQjyIyTAh0A/b4L8vPH18mjTtWobmqlvbKauqZmauiYqahsoraqjrLqeppZWTCaEBHAC fb3okxzD7qNWF7PTjOTYMGEbSQfgJNAsY3gprH03bRD5YhkHwFM5AC5BoK8Xwf5iUtDlNfVKClqh ADw93OiVGMOA1A506xRJSodwoq04fMffxxN/H+v27w/r0andOwAZGeJFlECWrc7gdBEAb+UAuAQy 4f+84kqtt41eryM6JIC4iCDiIoKICgkg0M+LoNNPWIG/qnZuNRiprmukqq6RytpGyqrrOH6qgmOn yskvqXapkKjCtsSGBzK2TzJj+iTSKzEGTw9xKXUt6Z0kPvbbkbFjBEDKa2gL1r6bCt3R5SIAzvVD rzg/MgIaeXYO//t5e9K9UyQ9EqPp3imK5NhQYsMChQt/LkRLq4HDeSXszsxn19GTpB8tUBEOxS8I 9PXiiqHdmDasm9TYbEeiW6co9HqdcBeBI+LupqdTVLCQjcFgIDMzU2Y513QAWltbaWlpwcOjbXkp UA6AqxAVIh6uPFlSadM9Bfv7MKhLHEO6daRv51g6RgWjt+E4Mw93N3olRtMrMZpbJw+gucXApgPH WL79CJsOHKe5xWDT8yocl6TYMG6e2J9JA1Ncpu7J18uD6NAACkqrtd6KxXSMDJbqAJB56MVVHQAw RwFEHACVAnANokLEZmgD5BVbNwKg1+nomRTNmN5JDOkWT2p8hE1v+JfC08ON8f06M75fZ6rrGlmw fj/z16ZTUSNUWqNwYpJiw7j7isFc1r+zpj+LtiI+ItglHIAUmSmmcuF/AGnDS6FpESCYHYCAgLY/ DXp5KgfAFYiUcAAKyyz/4NDrdQxI6cC4fp0Z1zeZiGA/rd+K8xLo582dlw/ipon9WLTpIB/9mEZV ndTTg8IJ8PP25N7pw7h2bG/0ete78Z/Bp41dCI5O146RwjZ79+6VWaocG4wBPoOmRYAAtbW1RES0 PbcVIlg5rnBMooLFHYDiyjrp9VLjwpk2rBtTBnUhNNBX6+O3GS8Pd64b14cpg7vw9sLN/LD5kJLD djHG9EniiRvGER7kmM6oNXEVITcZB2DPnj0yS9ns6R+s7wDUixoUFRWRmNh2lagAX2883d1oblX5 UWdGNAJgNJoorRJzAAJ9vZg2rBtXDu9OSgfxkJ0jEeTnzV9uuoxpQ7vx1L9/pqiiRustKSzE08ON h68eyTVj+gj11DszrpDO0umQKsiUdABslv8H6zsAwpNaiorEohs6HYQE+KoPQCfG3U0v/BReVl3f 5pa5bh0jmT2mF5MHdcHbxVJGfTvHMu+p6/nbf1ew5YBNxMEUdiAs0Jc3HphON4knSWfmZKnzC3nF hgUR6OslZFNaWkpubq7MclJ5g7Zi7U9H4VyFqAMAEBakHABnJizQT7jAqaSy9qL/X6eD4T0SuG3K QPp1jtX6iDYl2N+HN+6fzpvfbWLeKqmnCoWGdIoK4e0HZxAbLjZIxhXwtFILrZZ07Wi3p38Am/6C W9sBqMTcCdDm2Y9SDkCA8+RwFb8lJEC8jqPoAg6Am17PxIEp3Dp5gNOH+UXQ63Q8MnsU/j5efLhk m9bbUbSRxOhQPnr0aqnfAVfANRwAu+X/jcA+W57FFvHREiC+rV8sGwFQOC/B/uKzwUt+VQDo6e7G 9BHduXlifzqEi4sKuQp3XzEYLw833vp+s9ZbUVyCmNAA3n3oKrve/I0mE5U1DVTWNlBR20BtQzMt rQbqm1poNfwypebn7UmArxeBvl4E+nqb/+7nhZsa2/4LenSKEraRdACygVoZw7ZiCwegCBs7AJES FeQKx0F0BgBAZa25eEiv0zF5UCr3zhgmPIvbVbll0gBOldfwzTqbPiwoLMDX25O3f3+VVPtrWzAY jRwrrODQiSKO5JWQW1RJXkklhWU1FstN+3p7EuTrRZC/DzGhAXSIMA8bGtUrUXj+gLN3sOh1Onok ijsAu3fvllnO5vk9WzkAbebUqVPCC8joyCscB5lWzsraRoZ068jvZ41weElUkwnqGputPkzlYjx2 7RgKyqrZtP+41sdXnIe/3XwZCdEhVr3mqfIaNu0/zpaDx9lx5CQNNpKRrm80TwYsLK/hcG7x2X/3 9/Fi6uAuQtdydgcgKTYUP2+x3+vy8nKOHj0qs1y6rc+juQNw7Ngx4QVEx8gqHAuZCMBtkwfY7OlJ hOYWA9mFZRw9WcrJkipOlddQUFZNcUUttQ1NNDS30nJOi6qvlwfeXh6EBfjSKTqEhOgQkmJCGZAa R5gV9Qj0eh3P3z6Z65//UhXIOhjXjOnNhAEpVrlWc4uBFTszWbI1gz1H8zW9obq7iacGfp12cDZ6 JcYI26SlpcnqH6Tb+jy2cACE5j0WFBRQX1+Pr2/bPwxVBMC5CfQTa6EBOeVAa5BbXMnuzHx2H83n cF4JJ05VCIVU65taqG9qoby6nqP5pWf/XaeDrvGRjOiVwBVDuxIfEWzxXgN8vXjmtonc/8ZCp3/S chWiQgJ4cOZwi6/T2NzKdxv2M3flbmE9DFsR4CP+e1zbYJOx9najl8REw23bpIt0d9r6PLZwAHJE vthkMpGTk0PPnj3bbBPk502grxfV9cLCgwoHwNeB5UCr65vYdugEm/YfZ8fhPEps9GFrMkFGbjEZ ucX8Z9kOxvVL5tZJA+ieIJ5fPJdBXeK4fnwfvlydrsG7p/g1j88Zg69gyPjXrN+bw2tfr6ew3LEi OwGCvfAGo5GGJuUAtJFsJHR1RLGFA5AtapCVlSXkAADERQZz6LjNJJIVNsTb07EcgJLKOlbuOsr6 vTmkZxVYXDQlitFkYvXuLFbvzmL68O784ZrRFtUP/N+VQ1m+/QjlLqC65swM7d6R0b2TpO0bmlp4 cd4alm8/ovVRzotoBKC2oRlnDkwF+nqREBUqZGM0Gtm+fbvMcjvscSZbOABZwgZZwibERwQpB8BJ cQR1vpr6JlbuOsrPOzI1z6Weyw9bDrEtI5dnb5vEoC5xUtfw8/bk/64cyt+/XKv1cdo1v7tiiLRt YXkND7/zA9kFZVL2ep2OQD9vAv288PPypLK2gaq6RuqtWCgoGgGobXDuiG2vpBhhyebDhw9TWVkp s5zTOgCnMPcutjlpK+UAqDoAp8VHwwhARm4x323Yz887Mm1WNW0pxRW1/P7txbxwx2Qu699Z6hpX jezB/DV7OXaqXOvjtEsGd4und7J4wRhAfmkV9/zr+zaH/H29PRmY2oGBXeJIiYsgOSb0glLbzS0G Mk+WsP1wHj9uyyC3qFL6jKIOQI2Tp2z7p3QQtrEg/y8VNhDFFg6ACXMdQO+2GshGABTOib0jAE0t razYeZRv1+/joJNEjVpaDTzxyU/87eYJTBvWTdjeTa/n1skDeOazlVofpV1y/bi+UnbVdY3c98ai S978dToY1SuRK4d3Z0TPhDYr7Hl6uNEzMZqeidHcOnkA89fs5a3vNwunvXy9PYW7AGqcvACwX4q4 xLikA9CKHTQAwDYOAJjrAGzrAKgIgNPibaciwIKyauav2cuPWw85ZcGo0WjihS9W0yEiSGq+waRB qbyzaIvDVI23F8ICfRnRs5OwndFk4i//+Zn8SwzMGdQljj9cO9pi6Ws3vZ4bJ/SjqaWV9xZvFbIV FQACs3PjrPh4edBdQgFw48aNMsvtB+zyS2srB0Dojn7y5Emamprw8mp7SCkxWqwYQ+E42DoCUFBa zb9/2sHSbRl26zt20+vp1imSrh0j6BAeRICPF/4+njQ2t1Jd30hVXSMVNQ2cLKniaH5pm8eithqM PPnxT8x7ao7wBEVPdzeuGdOb938Q+3BXWMYVQ7tKyecu3nyQLQcvPuHxwZkjuGXSAKuOD54xooeE AyDeluvM+hS9kqKFIx5FRUUcOSJVwLnBXueyZQSgzRgMBo4dO0bXrl3bbBPg60VkiD/FFTaVSlbY AFvVAGhx448I8uO2KQO5fEhXoZzoyZIqth/OY+O+Y2w9dOKi+y2pquO5uat44/7pwvu7amQPPvxx G0ajYxQ5tgfG9k0WtqmoaeDthVsu+jW3Th7ArZMHWH2/Ml0vMaHiMtynHKyNUYT+ncXz/xs2bJAV AJIKG8jgEA4AQEZGhpADANA5Nkw5AE6Il5UjAIXlNXz8YxrL0g7bVWlsVK9EXrxz8tk+7/qmFjJO FHGssIKK2gYam81Fht6eHkQG+xEZ4k9yTBiRIf7ERQQRFxHErFE9qahpYOGmA3y5Ov3szINfs2n/ cbZn5DG4W5vHbADmcPTA1Di2H86z2/vSngn086Zngniv+Fdr0i8aIvfx8uDOqYNssucdEj8bMikA p3YAUuUcAEm2yBqK4hApAIADBw4wc+ZMIZuk2LBLhswUrktzq4G5K3bz6fIdNDa32nXtxOhQXvm/ y/F0dyMzr4QPlqSx7dAJms+RAb4Qwf4+DEjtwLDunRjfL5mQAB/umDqI68f14f0ftvH12r3nbUt8 47uNfPHUHPSC8d9JA1OVA2AnhnbriF4v9v2pb2rh2/UXH+QUGuBrsaDQ+TCaTFJDpGLakQPg6eEm 5dStX79eZrkjQKG9zmYrByAXcxGDX1sNDhw4ILxIcmyYjbavcHS2HDjBa9+sJ7e4UpP1rxnbG093 N/ZmF3LvG9/T3GK+8Qf7+5AaH06wnw/+Pp60tBqoqjPXABSW11BcUUtlbcNZ4Z9X5q/jsv6duWPK QJJiw3j02tH0T+3Ak58s/8VMAYDMk6WsS89mfD+x1sDx/ZL5+5dr7S5w1B7pI9H6t3p31iWLVE+V 11Bd10ign/go7Yvxw+ZDUp0xMRKTOB1NybCt9E2OxdOjbV0WZygrK+PgwYMyy9kt/A+2cwCMQAYw sK0GMm+WcgCcFAtEd4ora3l1/nrWpQtnmaxKh3DzB+DPO47Q3GKgZ2I0f7p+LN07RV7UrrSqjkMn itmXU8jP249QWF7D8u1HWLEzkxsv68f9Vw1nXN9k/jxnLM/PXf0b+4UbDwo7AIF+3nTvFMn+Y+KT NxVidO0YKWyzevelJ8UZjEY+W7GLB2eOsNpes/LL+Ne3cvcb0S6sxubWC6a3HJ3BXcXSbgCbNm3C KOdw29UBEC9VbTtCj/SZmZk0N4v1iSZGhwiHQxXaY5AsSFubns2c57/U/OYPUHU6X9sh3KxHYTQa 6daGD//wID9G907kgauGs/jF2/jgkVkM7hqP0Whi7srdPPnJT4C5Mvt842PTMnIpKKsW3u8giQ8x hRh6vY7UOLHWvIamFtIy2paembdqD9vb+LWXoriylj+8v4T6RvHe/ABfL0IDxCZ6FpRVO60M8JDu HYVtJMP/YMcOALCtAyD0SN/S0iLcMuHj5UFsuHgoSqEtDc1iCnwmE3ywZBt/+nDp2Ruv1mw+cByA qUO64uPlwaETxSzcJJbG0ut0DOwSx3sPz+S52yfh7qZnzZ5sdhw5CcDwHgm/sTGaTCzddlh4vwMl ZYUVbScmNBAfQY2LjBPFv0n1XIhWg5E/fbSUXZknLdpnblEld732LQWl4o4kQEcJDZY8jVJ1lhLs 70OX+Ahhu7VrpWS484Hj9jyfwzgAIJcGSIpRaQBno7FJrGCvqKKGT5Zud6gniHXpORRX1BIa4MPd pzXf//nNBvZmy9XvDEyNO3vzaDldT+Dudv7o1laJwtc+yTFS89sVbScmTLwwTjQtU9vQzANvLear NelS8yuWbz/CzX+fL33zB+gUFSJsc8ICyWEtGdQlTjjKXFxczN69e2WWkw4byOIwKQCQKwTs3EE5 AM5GveBIUD8bVD9bSlNLK28v3AzATRP6MaJnAk0trTz87g/sySoQulZFTQOPfbiUmvomkmPDzrb6 bT98/ie9g8eLhHXVvTzcSVI1MzZFpjJeZthPS6uBf36zgTteXUBaRm6bHOMDx07x4FuL+ct/fqZO Iux/LjIqrLnFFRatqRVDuomH/1etWiXb/7/J3uezpSTbSaAKaLNov4wDkBSjFAGdjQbBlj0/b090 OotqB23CT9uPMKJnAlMGd+Glu6Zw3xsLOXi8iPvfWMjvZ43k2nG9L/n0kJVfxh8/WEpeSSUBvl68 cOdk3N30bDlwgsO5xee1MRiN7Mw8yThBwZluHSPJzLP5iPF2S0SwuDrepWR/L8aBY6e4/81FJMWG MbZPEgO7xBETFkCwnw8trQYKy2vYl1PI2j3Z7D6ab7VzdooKFrbRqlvHUmTy/ytWrJBdzq4FgGBb B8CEOQ0wvK0GMimAzhbqYSvsT0OjWA2AXq/Dx9PDqqNMrcWzn68iNMCXwd3ief+RWTz+4TK2HjK3 KP60/TC3TxnIqN6Jv5GGPX6qgvlr01m06SCtBiNhgb78895ppHQIp6KmgZfnXzyHuDszX9gB6Nox gsWbtX7HXBeZSFVhmeWtcTkFZeQUlPGfn+wyQVYuAuCEKYCOUcHCUR2TycSqVatklitGIm1uKbYe yybkAOTk5FBfX4+vb9s1zxNjQvDycKepxb5CMAp5RIsAwfzh6ogOQEurgcc+XMp7D11Fz8Ro3nxw Ol+tTufdxVs4eLyIxz5Yiq+3J72TogkN8KWmvoljp8o5WfK/J7/hPTvxl5suIzLYn7rGZh794MdL 5mgzLhAduBgyLWqKtiPjAFTXO0ZRqwjxEcFCX1/f2ExZtfMNpBrZM0HY5tChQ+TnS0VbVmF+aLYr 9nAA2ozRaCQjI4MBA9qud+2m19O5Q5jTjHlVIHUj9/X2BAedalff2Mzv/vUdj107hlmjenLjhH5M HJjCl6vTWbz5IDX1TWw7lPsLG71ex/Aenbh+XF+Gng4zFlfU8vC7P5B5svSSa2bmlWA0moRU51Lj wnHT65UgkI3w9RbrADAYjXZXsLSUkACzwJUIeSVVDpe+awsjJBwAC8L/msztdigHAGDfvn1CDgCY n2yUA+A8yPQe+wl+uNqb5hYDL81bw67Mkzw0aySRIf48fPVIfj9zBIdzi8k8WUp1fSPubnqSYsLo Eh9ByOleapMJfthykDe+29Tm4r76phZOFFcITcX08nAnMSaErHzxwjPFpdEJVos3OdnNH+TC/87Y Aujr5UG/FHH9/5Urpe/jq2UNLcHWDoBwVd/u3bu5/fbbhWxk+jQV2iFThWwLHXRb8POOTNbuyWbG iB7cNLEfHcKD6J4QRfeE384Sb2hqYdXuLOau3E2ORDV4xoli4bHYXTtGKgfARohWfuslRgZrjWj4 H5yzAHBwt454uovJ/zY1NckOAMoANBnWYWsH4BRQCrS5Um/37t3Ci6jcpnMhlQLwcg4HAMxDihas 38eC9fvoEh9Bn+QY4iKC8PHyoKGphZLKOg7nFrM3u7BNw4MuxOHcEi4fIjZBs2t8JD9uzdD6LXJJ REcuiw4NcgTiI9rc1HWWc+tdnIURPTsJ22zYsIG6Oqk0pSbhf7C9AwCwB5jY1i/eu3cvBoMBN7e2 e1+dO4Th7qa36yhYhTx1Da6XArgQR/JKOGKj1rvDEoWA3TopZ9lWiBYie7i5odfrhB0HLWkPKQCd Ti7//+OPP8ouKV04YCn2iEEJPdLX1dUJSwJ7urspPQAnwpVTAPbkcF6JsBpcSly4mp9hI2oFHVud DgJ9rTvdz9bIRADynCwCkBIXQaSEpsPSpUtllmvGzvr/52IPB2CPqIFKA7g2MikAZ40A2JL6xmbh pytfLw+pUa6KS1PbIKbOCBDo56X1toWIE4wA1De1OF0L4KheCcI2GRkZZGdLDSnbBGg2J9nhIgAg 6wCoQkBnQaYLwJlqAOzJ4Vzx9EJijLiWu+LSVAvKMwNST5paEezvQ6CvmMNysrjS6VoAx/QRE9gC 6ad/gGVantUeDkAWZkngNiPlAMSrCICzoFIA1kNGSz5BsHNA0TZKKsWfdGUm62lFB4nJq87WARAV EtCmsd6/xoL8v7TnYA3s4QCYAKHRSOnp6cItNSlx4U5ZVdsekXEAVArg/BwrLBe2SYxWEQBbUFxZ K2wjU1SnFTLRCmfL/4/tm4RoiUxFRQWbN0tpbB8DxGd7WxF7NaIKPdJXVVWRlZUltICPlwedItUH mzNQLzgLABCes95eyJFwAFQEwDYUV4incp0pAhAe5CdsU1wh7hRpyVjB+RoAP//8M62tUqJOmj79 g/0cADsVAqo6AGegUWJug7enPTpWnY+TJVW0CGoJqAiAbaiobRCObjlTBCAiWNwBKHFQ+e7zEejn Tf+UWGE7C8L/mub/wUEjACDnAHTrFCVso7A/ojcsAA9BVa72gsFoFO4ECPTzJvS0DLHCephMkC2o shgXEeQ0qUuZCECJRFpEK0b1+u3UzkvR0tIiWwDYAKzX+sz2cgAOnz5wm5FxAHomKAfAGZCZ3Ojl riIAF+LYqQphmwSlm2ETsgSLMj3d3aTkdbVAygFwogjA2L5JwjZr1qyhsrJSZrnVQL3WZ7aXA9CK YCHgnj3CWQO6doxUT4pOgNFoElZt9PRQ39cLIVMImBCl0gC2ICv/0pMcf02f5Bitt90mRKNGRpOJ 8mrN73FtwsfLg2HdxeV/v//+e9klF2t9ZrCfAwCCdQBlZWUcO3ZMaAFPDzdS4to8dkChIc2CUQBP DxUBuBByhYDKAbAFMoOWeic5hwPg7yOmAVBT3+Q08uwjeyYI1xkZDAYWL5a6jxsB6cIBa+KwDgBA Wlqa8CI9E6LteCSFLE0tYnUAXioCcEGOF4mnAKJDA7TetksiEwHo7SQRAD9BLQ4ZZUStmDgwRdhm 8+bNFBVJjaHfgnlQnubY0wEQTupLOQCJqg7AGTAYxZ4MRItz2hP5Er3W0SHKAbAF1fVNFAm2AyZG hxLo5/gzAfx8RB0Acb0PLdAg/L9I6zOfwZ6fqvsBIZdw27Ztwov0TFQRAGdA1AFwlkppLahrbKZG UIZWRQBsx5E8sSiATge9kxz7c8vT3Q1PwfoqGcEvLRjVK1FYZ8RkMrFw4ULZJR0i/w/2dQCaEUwD 7Nmzh6YmsQ+2+IhggpzAm27viE5AVRPsLk5hudhTZ0iAryqstBF7juYL2/RNFu8/tyeiT//gPA6A TPh/586d5Obmyiy3H7M8vkNg77iqUEy/qamJ9PR0oQV0Ouih0gAOj1FFAKzKKUEHQKdTaQBbsSvz pLDNsB7iIWh7IjOMyxlSAL7engyXeO9dIfwPDu4AgGQaQBUCOjyic+xVBODinCqvFraJCnGeSXTO xOG8EuGUTGpcBJEO/P2Q+fWTEfyyN2P7JOEl0WG0YMEC2SUdJvwP9ncAhO/mcoWAygFwdIyCOQC9 KgK8KIVl4jr0qg7ANhiNJvZkFQjZ6HTmVjRHRWakrzM47RMGdBa2SUtLIzs7W2a5HGCX1mc+F3t/ qh4DhPomZCIAvRKjpTxWhf0QjwBovWPHRrQGAJQDYEt2S9QBjOyVoPW22xWBft4Mlaj+nz9/vuyS 32h95l+jxWPVdpEvPnbsmHCvZYCvFx3VZECXQqc8uotSWCaeAoiQGO+qaBs7j4jXAQzu2tFhCzNN iIcAHP1XduKAFOHOBqPRaEn4X9rQVmjhAKg0gEK4r9/gJIpiWlEmIbka7K8GAtmKzJMlVAvWAXh7 ujOwS5zWWz8/EikAR3faLx/SVdhmw4YN5OeLR3cwh//FB9zYGC0cAPsUAqpOAIfGXdABcBZJUa2o rBWatQVAkJ+YtKui7RiNJrYdOiFsN7aP+Dx6e2CSKAJwZAegQ3iQlASzBeF/h3v6B+1SAELloTIR gF4qAuDQuLsJOgCCbYPtjcbmVhqbxeYrBPmpCIAtWbtHvFDssv6dhX837IHMBE8/bzFxHXsydUgX 4RRFS0sL3333neySygE4TQ3m8cBtZseOHRgMYi0lqXER+ApqVyvsh5uoA6AiAJekQjAKEOyvBLNs yeYDx2kWnHkR5OctJUtra2okevpFhwfZk6mDuwjbrFy5ktJS8VkPmIvfHS78D9o4ACBYB1BTU8PB gwfFDqbX0cdJpmy1R9wEy/pFpYPbI6JpAGfQn3dm6pta2HEkT9hu8qBUrbf+G1paDcIRpgBfx3QA uidE0UliHPbXX38tu+R8pKoobI9WDoBwTF8mDdAvxbHlNdsz4kWADvn741CIOgCe7m74CmqgK8RY l54jbDOmT5KwNr09EJ3uF+CgEYDLB4sX/9XW1lqi/veF1me+EE4RAQDYsmWL8CKOrq/dnhGuARBM AbVHKmsbhW3U3Azbsn5fjrDmhY+XB2P6JGm99d9QI+oAOGAEwMPdjSmDxSMs33//PbW1tTJL7gIO aX3uC6GVA3AQEGpc3rhxo/AiPROjhfs8FbZHpwMvTzH5zWYnkBXVmioJB0ClAWxLeXU9+7ILhe0c MQ0gKm/s6+XpcGO8R/VKlGp//fzzz2WXnKv1mS+GVt8dI4KSiNnZ2RQUiMlrenq40a1TpEZHVFwI Lw93YZnQxibxKuT2hkyltqgjphBnzR7x4W/De3QiPMhP663/AlEHQKfD4c4wY0R3YZu8vDzWrl0r s1wrIF04YA+0dM+2ihrIRAH6dlZpAEdDpjujrsnxJ4tpjYwD4OGmImS2Zvn2I8JFrG56PVcO66b1 1n+BjNiUIw2cigjyk+qwmDt3rvD00tOsAE5pfe6LoaUDsEnUQMYB6Ne5g4ZHVJwPH0/xAqeGphat t+3wyKRJVIrM9pTXNLDtkPjs+JkjezrUQJ3SqjphG0eacDhtWDepseJz50pH8aXzBvZCSwdgM4KC QLIRADVL3rGQqTyvb1QOwKVoEmzTAnNRlML2LN0mJH0CQGx4IAO7Oo40sJQD4EDzJq4YKh5RSUtL 4/Bh8e8d5hq3JVqf+VJo6QBUA/tFDPbv3095ebnQIv4+nnSODdPwmIpf4yOhENbQrByASyGTAnDU 4TOuxrq92cI5dDBHARwFOQfAMWoA+nWOJSFavPf/s88+k13yW0A8Z2JntC7R3CDyxSaTic2bNwsv 0lelARwKlQKwDU2CqnOgUgD2ornFwOrd4sWAY/skERrgGJLNpVXi9zNHSQFcOVy8+K+xsdES8R+H 7f0/F60dAOGYvlQdgBIEcihkRE6UA3BpWiRqAFQKwH4s3ZYhbOPh7sa0YeI3L1vgrCkAP29PJgxI EbZbuHChcMT5NLnAeq3P3RaczgHYsEEoaACYwz8KxyFQQiCkXjkAl0SmXkxUklkhT3p2AfmlVcJ2 s8f0cog6ppKqOmFRI0eIAEwd0kWq7uiTTz6RXXIe5lZ3h0drB6AIyBQx2L17N3V1Yp5oeJAfcRFB Gh9VcYbQQF9hG5lxt+0NGdEVNWTJfphMsGiT2EwTgNiwQMY6gDJgS6uBMsE0QGSwv+adDDJ1FDk5 ObK9/wBfanpgAbR2AEAwCtDS0sK2bcJKwioK4EAES6jPycjctjdkxsgqB8C+LNx0UKpd8/rxfbXe OgCnKmqEvt7dTU+IhjUMvZNj6BIfIWz38ccfYxKMdpxmF3BAswML4nQOAMjWAahCQEdBRopTdNRt e0QmTKwcAPtSWdvAGoliwP4pHejuAKqmp8rFHADQtg7g6lHiT/+tra0uK/37a5zSAZCpAxiQqhwA R0HGAahSDsAlkQm1KgfA/ny7Qaj7+SzXju2j9dYpLJNwADSqAwj085Yq/lu6dKmw7PxpWjCP/nUa HMEByAGEhmanpaXR3CwmDdshPIjY8ECtz6oA4ZCgyaRSAG1BLgWghizZm/SsAo7klQjbTR6USphE /Yw1Ka4UdwCiQgI02eu0od3w8hCfdfHxxx/LLvkD5ro2p8ERHAAwqwK2mfr6enbtEpolBMDgrvFa n1OB+AjamoYmYS319oi3xGCfVvW+asLCTeJpYg93N2aP6a3pvmUiANGh9o8A6HQwSyL8f/LkSZYv Xy677Ed2P6iFOIoDYJc6AOUAOAaiEYDKGhX+bwv+PuLtlc0S4kEKy1mWdoS6RvEBV9eO7S3V0mYt iipqhW20iAAMSI2TUv77z3/+g0EuKpYDrLL7QS3EaR0AmRaNgV3ipXqlFdbDy8MdP8FpgKoAsG0E SOgryMjTKiynvrGZH7eKCwMF+Xlz1cgemu1bpghQi4mAMvUSra2tfPSR9EP8RzhJ7/+5OIoDcAAo EzHYuHGjcB1AaIAPnWPDtT5ruyZKIhxYUePwktoOQaCveHtldZ2qrdCKL1enYzSKt5rdOKGfVL2H Naiqa6BRcOhUdKh9IwAxoQFSugmLFy8mPz9fZslm4FO7HtJKOIoDYAK2iBjU1dVJ6QEMUmkATZH5 MCiuFA87tkdkIgBVygHQjPzSKtamZwvbRYUEMHVwF032bDJBsWAaICLYT0qkSpZrxvaWaol95513 ZJdcCBTb7YBWxFEcABAcDASwevVq4UVUHYC2xISKd2LIhB3bI6IOQEurQUksa8xnK8SLmQFunTxQ M4U90d9HN72esCD7dC94ebgzY4R4iiQjI4P166Xl+z+0y+FsgCM5AGtEDVatEq+56J8Sq1n4TCGX DzxVriIAbUF0apzK/2vPoeNF7M0uFLZLiA5htEbywKJqgGC/NMDUIV2Eu4wA3nvvPVnlvyxgnV0O ZwMc6U6YDpSKGGzfvp3q6mqhRXy9PemREKX1WdstMh8EKgJwafQ6HRGCimtV9Sr87wh8sXK3lN0d Uwdpsl+ZToDwQD+77O26ceLFfzU1NZYo/72POYXtlDiSA2BE0JNqbW2VUgVUaQDtUA6AbQgN9MVT cLSv6GAXhW1YvzeHvJJKYbvunSIZ2SvB7vstkajJsYeAUf/UDqR0EC/y/uKLL4QfJE/ThJNJ//4a R3IAAIST+jJpAFUIqB0xYWIOQEurQWoOeXtDJrVSqBwrh8BoMvHFyj1StndfMcTu+y2X0OWwx0Cg 68f1lbJ77733ZJf8FhCXdHQgnN4BkCkE7JUYjY+GYhrtFZ1OXBSkuLJWeAZ5e0RFVpybJVsPUVIp 7uj2SIhieI9Odt1rmYRDbusIQGy43MjktWvXcuCA9PC+D2x6KDvgaA7AUeC4iMHBgwc5deqU0CIe 7m5qPLAGhAaIh6nVTaptyDgAhWVSYU+FDWhuMTBXshbgrisG23WvZRK6HKE2dgBuGN9PqvXvjTfe kF0yA0EJe0fE0RwAACGJP5PJxJo1wg0EDOyi0gD2JkrqJqUcgLaQGB0qbKMcAMfi+437pcLrvZNi GNKto932KVM7EhpgOwcg0NeL6SO6C9tlZWXx448/yi7r1MV/Z3BEB8AudQBDuikHwN5ES+SpZSqO 2yNJseIOgGqvdCwam1v5arVcLcC904faTea8qaWVesE5BqGBtqsBmD1Gbj7CW2+9hVFuGFYD8IXN DmRHHNUBEPKsZOoAUuLCpebSK+SRGQpSJNFz3N7Q6SA5NkzIptVglOrnVtiWb9bto1pCn6FnYjSj e9tPF6C0WiwKEGajCICnu5tU619VVRX//e9/ZZf9CqiwyYHsjCM6AKeAQyIGubm5HD16VOzgOh2D usRpfdZ2RUSweC+wukldmqiQAOEBSyeKKmhpVZMAHY26xma+XrtXyva+GcPspg4oOqHT19sTD8H6 n7YwdUhXqQLDTz75hJoa6c+WN61+EI1wRAcAJMYqykQBhvdM0Pqc7QqZcbWlEpXR7Q2Z8H9WvtDs LYUd+WpNOrUN4qOCk2PDmGKnGQEyEtLenu5W3YNOZx6MJIrBYLBE9/9nYJ9VD6IhjuoA2KUdcETP BM30tNsj/j5iT6mAVDi0vdEzIVrYJitfSHRTYUeq6xqZt0quI+Ce6UNt8qT9axqbxR0A0Q6gSzGy ZyJJMeLO7/fff8/x48dll33DqofQGEd1ANYBQj9ha9asES7oCA3woWunSK3P2m7w9xaPANQJFhu1 R3omijsA2QUqAuDIzFudTmWteEdAbFggV0kMwxGlQXAkMGB17ZWbJ/aXsnvrrbdklzyIOQLgMjiq A1AD7BQxKC8vZ9cu8claI1UawG74eot9AJhMUCcRCm1P6HRyDoBKATg29Y3N/PdnuUmBd14+yOrh 9l/TIJEC8PKw3p56J8XQP7WDsN3WrVvZtGmT7LKv4wKtf+fiqA4ASKQBfvrpJ+FF7K2i1Z5xE5zC 2GowKBXAS5AYHUqg4Bjg8poGCpQGgMPzzbq9FMsM3gnyk6qMF6FRIgLgZUWnRHYQ0iuvvCK7ZDEw z2oHcBBcygFYunSp8CLdE6KEx6gqFI5Cr6QYYZsDx8THzyrsT3OLgX//tEPK9tbJAwkQdAxFkIkA WCsqkRoXzgiJyG1GRgZLliyRXfY9wOXGZzqyA7AFECoB37lzJ0VFRWJvgE7H0O4qCmAPRMst1bP/ pZERtErPUg6As7B480FOllQJ2wX6eknnyNuCTBGgt5VSALdNGSglevSPf/xDVvinCRfQ/T8fjuwA NAMbRQyMRiM//yxeo6HFSE3FpXGT0PZuT+h1OqnR1vtzlAPgLLQajHz0Y5qU7ZzxfW2mwd9qEL+R WiMC0DEqmAn9U4Tt8vPzmTdPOoI/FxB7snQSHNkBABBO6i9btkx4kaHdO+Gmd/S3wvkRrRx20+ut WjjkanTtGCGsZtlqMHLoRLHWW1cIsHzHEXIKy4XtfLw8uOty2wwK0mnUPn3b5IFSQ39ef/11mpul CopNmIv/XBJHv+sJJ/VXrFhBa6vYjSbQ14veSeKV1Aox6hrEe/r9BDsH2hMyqauDx4toahEv4FJo h9Fo4oMftknZzhrVk45RwVbfk0x0TiZqcC7RoQFMlRA6qqys5KOPPpJd9mcElWmdCUd3ALKBTBGD iooKtm7dKryQTFGJQgwZ9TAZ9cD2gkzqauuhE1pvWyHB2vQsMnLFIzfubnoenDnC6vuReQpvlcu/ n+Xmif2lRI7ee+89S2R//2XRph0cR3cAQCIKIJMGGKHqAGyOjLxpeJD4/ID2QGSwv1T//9aDygFw RkwmeH+x+IMNwLi+yfTtHGvV/cik5gwG+bLesEBfrhopLnDU0NBgifDPASRk6Z0JZ3AA7FIH0Dk2 nEiJcbWKtlNWLa7rLzNAqD0wtm+ysIx1VV0jGSr/77RsOXiCPVkFUrYPXz3SquOCfb3EZb2bLUg9 3Tp5oJTT8cknnwh3hp3Dv3DxZiRncAA2YFYGbDP79u0jLy9PaBGdTqkC2hoZUZPIYOWUnY/x/ZKF bdIycpWwkpPzzsLNUnY9E6OlqucvhKiqJ8hFAAEigvy4enRPYbumpiZeffVV2SMWAV/Kv0POgTM4 AE1IiAJJpQGUA2BTiiUm+8VHBmu9bYcjIsiP/iniMqgb9h3TeusKC9mbXciaPdlStg/MHG61QUEy g71qJYqAwdz3L/P0/9///peTJ0/KHvFfmO89Lo0zOABgpzTAoK7xVp9YpfgfMhGARIlpX67OtGHd hIuwmlsNbFQOgEvwzsLNUhX1HcKDuGZMb6vsISRAXF+gRsIBiAz2Z+ZI8af/lpYWXn75ZdnjlQPv W/YOOQfO4gD8iGAuZvXq1TQ1if3A+Xp50E/iyUrRNvJLqzAIVgLLjPt0ZXQ6mD6iu7Dd9ow8NVnR RcgtruS7DfulbO+6fJDw7IjzESFYnGs0mqhvFO8Cum3KQDw9xB/KvvjiC0tH/kq3DTgTzuIAFAD7 RAzq6upYv3698EKjeiVqfVaXpbnVQF6xmKxpkJ+3qgM4h34pHYiPCBa2W7MnS+utK6zIJ8u2S+XU A/28uV1ykM65iBbnllbXCdefRIUESFX+GwwG/v73v8serQp42+I3yElwFgcAQDimL5MGGNM3yarV sopfIqNo1kuJNJ1FZtZ7S6uB9XtztN66wopU1DTw35+FJqaf5bpxfYgNC5ReO8jPWzgnXySR/rt9 ykCplOz8+fM5evSo7PHeBiql3xwnw5kcALvUAcSEBtAlPlLrs7osOQXic+h7S0y8c0X8fTwZ36+z sN3mA8epqnO5QWbtnq9Wp1NUIR6p9nR34/6rhkuv21GiMFe0/ic6NIAZEqkuo9HIiy++KHu0OkBa NMAZcSYHYAsgdPc4evSolCc4tk+S1md1WWR06PskKwcAYMrgLlIDVX7cdljrrStsQFNLK+8tlpMI njQwle4JUVK2CdEhwjaiEYDfTRsi1bGwYMECMjIypM6FufCvRNbYGXEmB8AArBQ1+uGHH4QXGifR Y61oG/uPFSLait69UxSBft5ab11zpg8XD/9X1jaw+cBxrbeusBE/pR3mSJ74PUung4dnjZRaMyFa vDD3ZEllm782MTqUaUO7Ca9hMBh45plnpM4ENOLCQ38uhDM5ACBRB7Bo0SLhRZJjw6QKrRSXpqKm gTyBDwMw644P7dZR661rSq/EaLp3Ek9N/bwjk5ZWg9bbV9gIo8nEm99tkrLtn9qB0b3Fo51JseIO gEjtz70zhknNGpg3bx6HD0tHuz7GXGzernA2B2A5INRHtmXLFikpyLF9VRrAVsjMox/eQ3zynSsx 57K+UnYLNx3QeusKG7P9cB5bDsjNeHhw1nDhUeg9EsSLctvqAPRMjGZcX/EIbEtLC88++6zUewC0 AP+UNXZmnM0BKAF2iBgYjUapNMBYiR9CRdtIyxCTaQYY3SfJaipmzkZUSACX9Rcv/tuXXUhWvnjR pcL5ePP7TRiN4jLPidGhQq12HcKDCA3wEVqjqq6R8ur6Nn3t/VcNl+rC+vTTT8nJke50+RRol1Oy nM0BAInpgDJpgF5J0YQFiqtdKS7N1kMnhHuCA329GNa9faYBrhvXW/gpDeBbSbEYhfORXVDGD1vk xtbfefmgNrfbybTkZuWXtunrhnbvyKAuccLXb2pq4oUXXpA6O9AKvCJr7Ow4owOwSNRg9erVwvOg 9TodY1Q3gE2oqGmQmko3eVAXrbdud3y8PLhKQgq1qq6R1buV+E974sMl22hoElfbiwz2Z0Yb9SX6 JouPFd6Xc+qSX6PTId2a+NFHHwkPfzuHeUC7FclwRgdgPyDU29fU1CSlCaDSALZDpjJ9XN9kgtpZ N8C0od2kpFsXbjxAkwXjVxXOR0lVHV+s2iNle+vkAW1KscnU4rSl5mdC/xS6dRQvcq2vr7dE9c8A SBu7As7oAAAIJ/Vl0gCDu8a3uxuOvVi5U1yfwdPDjenDxcVBnBW9Tsf14/sI27UajHyzXkg5W+Ei zF2xi7I25tvPJTo0gCuHXbz1LiE6hNhwMQVBk+nSEQB3Nz33zhgmdd733nuPwkLxouLTLACOyBq7 As7qACwSNVi2bJnwcCB3N72KAtiIY6fKOdrG3OC5zBrdE3070Woe0TOBTlHioiurd2dJTV5UOD/1 TS18uEROHOi2KQMvWmsi8/SfW1xBZW3DRb/mmjG9pdQFKysrLZn4ZwCelzV2FZzVAdgCXDqxdA7V 1dWsWbNGeKGJA1K0PqvLsmJHprBNfERwu2nRvGFCPym7L1fLhYEVrsHizYc4fqpC2C42LPCi3SYy D0NpGbkX/f+Bvl7cdcVgqXO++uqrlJVJd7l8BshVTboQzuoAGIElokYyaYBBXeMI9hdre1G0jeXb jwh3AwBWmWbm6KTGhUtVRO/LLuTgcXHdC4XrYDAa+XhpmpTtTRdwOmNCA+jXWXxU+qZL1PrcdcVg qTRrfn4+b775puxb1AhIiwa4Es7qAAAsFjZYvBiDQUwVzU2vZ7ySBrYJheU1UgIm3TpGurww0A2X yT39f7UmXeutKxyAlTuPSk3e7J4QRd/Ov630nzqkq3B/fmNzK7uO5F/w/8dHBHPNmN5S53v22Wep rxevdTjN+0CurLEr4cwOwCpAqLevqKiI9evXCy80ob9KA9iKbzfIFavdN2OYy9YChAf5MXlQqrDd qfIa1uzJ1nr7CgfAaDLxydLtUra3TR74m3+bOkS8BXfnkZMX7UR5cNYIKXGvw4cP8+mnn8q+NTW0 88r/c3FmB6AJiRHB33zzjfBCA7p0EFa/UrSNLQdOUFBaLWzXtWMkUwa7pi7ArFE9pT4Yv167F4NR SClb4cKs2iUXBRjZK4Geif8T/Omf2oFEiQFA6/deuL2+X+dY6cjqk08+SWurdIvrv2hnE/8uhjM7 ACDRDfD9998L//C46fWMk5jDrrg0RpOJ+WvTpWzvnTEMLw/x8biOjF6v46o2irKcS31jM4s2H9R6 +woHwmgyMU9SF+CeK4ee/fsN4/sK2ze3GFi9+/ytvjodPHLNaKl9bd26lYULF8q+JSW0U83/C+Hs DsASoEHEoKSkhLVr1wovNEF1A9iM7zceoKJG6NsImAuT7pasIHZURvZMJDLEX9hu8ZZD1NSLtbkq XJ+fth+mXOJ3a2j3jgxIjSMuIkhqYuDG/ceovsDP49TBXaUmWwI8/vjjlrwdLyGYNnZ1nN0BqMU8 IVAIqTRASgcig8U/mBWXprG5Vbp47aaJ/encIUzrI1iNq0eLy/4ajSbmr9mr9dYVDkhzi4FvJUWh Hr1mFDdc1ldqNO/SbRnn/XdfLw8emCkn+bt48WI2btwo+1bkYi7+U5yDszsAYFZzEmLhwoW0tIhp Zuv1OiZJFGYp2sY36/ZKPcG6u+n5y02XSQ3LcTRiQgMYJtHdsG5vDvmlVVpvX+GgfLt+n5QsdGp8 BNeMEVeiLK9pYMvB83f33DF1kNSDVHNzM3/84x8teRuewVw3pjgH5//UNMsCC/WDlJWVsWrVKuGF LnfRojNHoLahmU+XC016PkvPxGjuvNz5tQFmjOgh1dnwzTr19K+4MOU1DXy7Xm4ypEyjzaJNB2g1 /LYYtWNksLS41bvvvsvRo+Ly4ac5AsyVNXZlXMEBqEMiDbBggXDggNT4CJJjXSfc7Gh8tWYvJ0vk nmTvumIw/VPFhUocBZ0OLh/SVdjuRFEFuzJPar19hYPz6fKd1EtMChSlpdXAN+vOn3L4wzWj2zx2 +FzKy8stGfcL8CTmsb+KX+EKDgBIpAEWLVpEc3Oz8EJTVRTAZrS0GvhAUsdcr9Px7G2TCHHSds0B qXHCg1YAvttwAAkxRUU7o7K2gQXrbD8gasXOTEqr6n7z76N6JTKyV4LUNZ9++mnKy8XbGU+zA5Bu G3B1XMUBWII5EtBmKioqWLFihfBCUwZ3cVkBGkfg5x1H2JstN90rJjSAl++e6pT1AFcM7SZs09xi uGCxlULxaz5fseuClfnWYt7q9N/8m6e7G3+4dpTU9TIyMvjggw8s2dITgHKRL4DzfVKenzrgZ1Gj L7/8Unih6NAA+qbECtsp2obJBC/OW0NLq5hk8xkGpMbxh2vkPmy0wtvTncskRFFW7T5KVV2j1ttX OAlVdY3S6oBtIS0jl8y832rs3DSxP/ERwVLXfPTRRy0R/VkFrLbZgV0AV3EAQDINUFUlnnNWaQDb klNQxtyVu6XtrxvXh1sm9df6GG1mTJ8kfL09he0Wb273w8wUgnyzbi8nisQnBV4Kkwne/+G36bvI EH9umzJQ4oqwevVqfvpJWOz1DEbgz1Y/qIvhSg6AcBqgoaFBSlVqQv8UPD3Ei1kUbeeTZdst+qB6 cOZIqaI6LbhiiHj4v6Csmj1H84XtFO2bVoOR17+V7qW/IGvTszhw7LcT2h+ZPQpfLw/xfba28tBD D1mypU+AXVY/qIvhSg5AHRLSwHPnineHBPh6MbaPmhBoS5pbDPzl3z9LpwJ0OvjbLROYNNCxtRtC A30Z0j1e2G7ZtsNSo5QVik37j1t1aJTBaOS9xVt/8+/De3RioqSC6ttvv83Bg9LS1lXAX612QBfG lRwAAOGk/rp16zh5UryNavrw7lqf1eXJyC2W7goAs0jQC3dMdujv1ZRBqcJFiyYTLEs7rPXWFU7M P+avo7ZBvAvqfPy4NYPjp34ZrfP2dOfxOWOlrnfq1CmeffZZS7b0HFBslcO5OK7mAKxEcNKT0Whk 3rx5wgsN7hZPdGiA1ud1eeau2M2OI/J97nq9jr/ePIE5EgNN7MFUiTTF/mOF5BZXar11hRNTUlXH 2ws3W3ydmvqm8z7933X5YDqEB0ld8/HHH5eqzTpNJvCO9d4p18bVHIAWQFjo/4svvhBeSK/TceUw 8dytQgyjycRT/15OUYX8DA+dDh69djR3OdjgoKSYULp1FB+Kop7+Fdbg+437LyjZ21bmrtxNWfUv hViTY8O4aaJcEe7mzZul0rLn8ChgndBGO8DVHAAA4cf5AwcOkJ6eLrzQlcO6K00AO1BeXc8fP1hK c4tcPcAZ7rlyKH+/e6pUUZItkClSbG41sGKntCSqQnEWkwme/Wyl1CTOM8wY0Z1AX6+z/63TwZM3 jsfdTfzWYjAYePDBBzHJ17b8DPxo23fNtXBFB2AbkCNqJON1xoYHMrBLnNbnbRccOlHMi/PWWHyd iQNS+OyJ60iIDtH0PHqdjikS7aSb9h+nWvX+K6xEWXU9z32+SlpNskN4EM/fMfnsg9BVI3rSJzlG 6loffvghe/bskT1KK/CYzd8wF8NVe9kigdEiBsePH+fhhx9GL1iQ5abXW7WiVnFhjp4sRa/TWaz5 H+Lvw7Sh3cgrqSKnUFpi1CL6pXRgzmV9he3eWbTFJn3civZLbnEloYE+9EiIkrLvGBkMOh3HCsv4 573T8PJ0F75GaWkps2bNoqFBOhrxNvC5vd4zV8FVHYBC4H4Rg9raWgYNGkRqqljbWHxkMAvW77M4 PK1oGzszTxIR7CeVOz8XD3c3JgxIISE6hD1ZBTTYYVDKudw4oT89E6OFbCprG3j5q3UYjar9T2Fd dh45ybh+ydKzNPqlxDKwSzxxEXKFfw899BCbN0sXJZYBswH5XIbluANRmB8+Q06/fE7vyWjBdW2K K6YAADKQEIH45JNPhBfy8nBXyoB25u9frmX9XuEsz3mZNDCVb5+5mRkjekiNPpVBp4Nx/ZKE7Vbs zJTWRVAoLkZTSytPfbKcxmY52V29Tkf3TnJO+aZNm/j3v/9tyfafBuwRygsGRgL/hznisAo4iLnz rAUoALLPeeVjLkg8BezBPJL4EWAU4BCFSK5cwXY/gu0g7u7u5ObmEhMjlsPKLijjuufEWwkV8nh6 uPHa/01jeM9OVrvm7sx8Xluw4bx65takR0IUn/35OmG7O/6xgH2Sg5IUirZw+ZCuPHf7JLut19zc TP/+/S0R/TkA9MO64371QGegD9AX6H367+KKXRemAnPB4jfAMjSKErhqCgDMHthDmEMzbcJoNBIe Hs7IkSOFFgoN8GXnkZMUlsu3qinEMBhNrN6dRZf4SDpGBVvlmjFhgcwa1YvU+HBOnKr4TXuTtbh+ XF/6dhYbKFVUUcMbNpBwVSjO5Wh+KUF+3sLpKVleeeUV5s+fb8klbgSyJG3dgE7AAGAKcDfwF+B1 4A/ANZif1lMBudzGhfHB7FTccPoMRmAfYNcQnytHAADmA0KPWikpKRw5cgSdYDx4xc5Mnvxkudbn bXd4urvxwp2TGd+vs1WvazLB2vRsPlm23eoRgQXP3ERidKiQzbxVe2yi4a5Q/Bp3Nz0f/uFq6Wr+ tpKdnU2vXr0sKfxbDFx1kf8fhDkvH3H6lQgkA0mn/0wAxKdw2Y6jmFMES+21oKs7AFMA4XFSa9eu ZezYsUI2La0GrnjyU8pt9NSouDB6vY4/XTeW2WN62eT6B48X8cOWQ6zYmUmNhfPUI0P8Wfb3O4Tt bnvlm/MOW1EobEFEkB9fPDWHsEBfm60xadIkVq5cacklNmMuADyzyWDMufUIIBzHurmL8CnwAGDz m4krpwAAjgF3AIEiRgaDgVmzZgkt5KbXU1PfxJ6sAq3P3O4wmWDTgeO0GowM6mrNNJ2ZyGB/RvVK ZM74viTFhNJqMFFSVSdVkDe+XzJj+4oNkiqprOON79TTv8J+1De1cPDYKS4f0hW93vrPifPmzeO1 116z9DIdgS6Yn+iTgFggGgjAue9t/TBHNpYA1bZcyJnfpLZgwuwJjhIxOnr0KPfddx8+PmItMXGR wXy9dq+0qIbCMsb0SaK3DcOW7m56OncIZ/KgVG6Z1J9h3TsRHRpAq8FIRW0Dhja0590yaQCdO4QL rbtiZyYb9h2z+funUJzLqfIaahqaGNEzwarXLS8vZ8aMGdTVCU1vb29EAldjLhAss9Uiru4AAORh Dqe02Y1tbW0lLi6OwYPFtOMDfLzIOFGihFo04LYpA+2q9a/X6YgODWBAahwzRvTg9qmDmDasG0O7 daJLxwiiQwOICgkgItiPID9vvD3dcXfT89DVI/ERlCL+ZOl29TOl0ISDx4uIiwgiJU7Mab0Yv//9 79m4UUW02kAQMB34Gqi1xQKuXgNwho2Y+zfbTK9evdi3b5/wQlsPneDBtxZrfd52xVUje/DUjZfZ rY/fnjS3GLjssY/sLlSkUJzB18uDL56aY1b8s5DVq1czceJES/T+2yM7Md+/LCtAOg+uKgT0az4W Ndi/fz/r168XXmhot04kxYhVeCvkGd8vmSdvGO+SN3+AA8dPqZu/QlPqm1p46pPlFotQ1dbWcvfd d6ubvzgDgZdtceH24gAsQEIp6p13xMdK63Rww2X9tD5vu2BQlzheuHOKTYqUHIU9R81FpYG+XvRO jmF4z05c1r8zA7vEkdIh/BeT2BQKW5AaH8GIXgnUNFg2ZffJJ5/k2DFVyyLJ7zHrFVgV1/3k/C2v Aw+LGLi7u3Ps2DHi4sQm/jW3GJj25H8ot2DMpuLidO8UyQePzMLX21k7fdrGvuxCIkL8iQkNOO// N5ngRFEF+3IK2bjvGFsOnqCpxZqiaIr2SFRIAFeN7MG0Yd0u+LMnQn5+PomJibS0uG40y93dncDA QEwmE3V1dTQ3W+YwnYd1wDhrXrA9OQBdgUOiZ/7LX/7C888/L7zYRz+m8dGPaVqf2SXpFBXCJ4/N lh5c4srUN7WwatdRvt2wn0PHi7TejsLJGNw1nuvH92VkzwSrR9Y2bdrEvffey4EDB7Q+pjT+/v70 6tWLHj16nH0lJSURHh5OUNAvxQINBgOHDx9m9+7dbNmyhfnz51NZWWnpFq7CLIBkFdqTAwCwFhgr YhAVFcWJEyfw8hILtZbXNDDtyf+oKYFWJjLEn//88RqiLXgqMZlM/PGPfyQhIYG7775b+HvrLGw/ nMcnS7ez+2i+1ltRODhdO0byyOyRDEgVi3aKYjQa+eabb3jttdfYtUt4XptdiY2NpW/fvr94JScn C4+MP0N9fT3z5s3jiSeeoKxMurNvAzDGWmdsbw7A9cBXokZffPEFN954o/Biz89dzeLN0kMuFL8i yM+bT/44W1hG99c88sgjvPHGGwDEx8fz1FNPcfvtt+Pp6ZrphA37jvH3eWsoqVJ914pf4unhxr3T h3HDZX1xk7yxyXLgwAG++uorli9fTnp6Okaj/efh6PV6OnbsSGpqKqmpqXTp0oUuXbrQt29fIiIi bLJmfn4+N910E+vWrZO9RHfME28tpr05AJ6YdQGE5lYOHTqUrVu3Ci+WXVDG9c/PU8JAVsDXy4P3 H5lFj4Qoi67zwgsv8Ne//vU3/56QkMAjjzzCrbfe+ptQnitQXd/EK1+t5ecdmVpvReEgxIYF8sr/ XU63jnJjfK1JcXEx27dvZ8+ePezdu5cjR46Ql5dHVVWVRdf19vamQ4cOxMbGEh8fT0xMDPHx8cTH x5OSkkJKSgre3t52P29dXR0jR44kPT1dxvxl4Alr7KO9OQBgfvMeFzXasWMHAwcOFF7s4Xd/YNP+ 41qf2alxd9Pzr3uvtHj07wcffMC999570a/x8/Pjxhtv5Pbbb2fo0KFaH93qfLp8J+8t3qKc0nZO 146RvPP7GQT7O3YdTXV1NXl5edTU1FBbW0tFRQW1tbVniwl9fHzO3sB9fX0JDg4mKCiIoKAggoOD CQiwvIDRVuTm5tKjRw9qa4U1ftIxywVbTHt0ABIxT10SUkGcM2cOX375pfBi+3IKuePVBVqf2WnR 6eBvt0zkymHdLLrON998w5w5c4TCjKmpqdx4443MmjWLnj17av1WWI0VOzP526craDVoMoJcoTE9 EqJ45/dXEaBaSDXn3HSkACbMUw4tHlPaHh0AgIVcfIzkb3B3dyczM5PExEThxe59/Xt2HDmp9Zmd kvtmDOOOqYMsusbKlSuZNm2aRW05SUlJXHnllVx22WWMHj3a6dMEy9IO88x/V2JUoYB2RWxYIJ/9 +TrVQeMg5ObmkpCQICOONBFYZen67WEWwPkoAm4TMTAajRiNRqZOnSq8WFRoAEu3WaVmo11x9ehe /H7WCIuukZaWxhVXXGHJzHEAKioqSEtL46uvvuIf//gHP/zwA3v27KGwsBCAgIAAp+omSIkLJ9DP my0HT2i9FYWd8PHy4P2HZ9EhXGg4qsKGBAUF8dlnn8m0B6YD2yxdv71GAMD8BvYRMfDz8+PEiROE hYUJL3bHqwvYl1Oo9ZmdhjF9kvjH/11hUS/yoUOHGD16tCUtN0LExMTQuXNnOnToQFRUFJGRkQQH B+Pr60vv3r3p37+/vd6+NvPsZytZslU5p+2Bx64dw/XjhT7yFHZg9uzZfPfdd6JmrwF/tHRtd60P ryFvAv8RMairq+Pdd9/lb3/7m/Bid0wdxMPv/qD1mZ2C3skxvHSXZRK/ubm5TJ482W43f4DCwsKz EYFfc/311/PVV8IdqDbnT9eP5eDxInIKhZWyFU5E76QYrh3X2+rXbWppZevBExRX1jF7TC/0rjqU w4b4+/vLmFll4Ex7mQVwPr5CoojinXfekQonj+iZQJd42/SVuhIJ0SG8ft+VeHnI+6YlJSVMmjSJ kycdp+5i4sSJWm/hvPh4efDCHZPt3gOusC+PXTvaajfnytoGlqUd5omPf2LiYx/z2AdLeXX+Oh54 cxFl1fVaH9XpqKmpkTETmyl+AdprDQBAKxAIjBYxqq+vJzY2lkGDxArTdDqICPZTfdgXITzIjw/+ MIuIID/pa9TU1DBp0iT279+v9XHO8uc//5k//tHiaB25RZUs3nKQNXuyycovw9PDzaL36gxhQX5U 1jZwUEkHuyQjeiZwyyTL5sg0tbSyYmcm/1ywgVfnr2fNnmxyCstpOaeTJL+0miVbDuHv40nX+Eh0 KhrQJl577TUKCgpEzXYBFoeU2/t3KBY4hlkgqM0kJydz5MgR3NzE/SdVC3B+/Lw9+fjRq0m1IErS 3NzM1KlTWbNmjdbHOcv06dNZuHChtHwoQG1DM28v3MzCjQd+U7WfFBPKtGHdmTmyh0VtXTX1TVz9 9OdqgJUL8p8/XUPvpBgpW6PJxA+bD/HeD1spF3i67xIfwd1XDGZMn2SXHdV9BpMJ6TOWlZURGRkp o4L4T+AxS/feniMAADVAZ6CviFFFRQWdO3emTx/xgpq4iCB+VEVXv8DD3Y1/3XclvZPlPqTA3KVx yy23sGTJEq2Pc5auXbuybNkyi5TGsvLLuOu1b9lxOI/zNQpV1DaQlpHLwo0HCAnwlU4zeXm4g05H Wkau1m+bwookxYTywEy5TpriiloeeGsx327YT0OT2BS/sup6Vuw8yuo9Wbi76ekYGYynu/Pfbqrr m9ifc4r1+3JYuPEA81btoUN4ILFhcp0VixYtkikABJgPbLf0PC7um7WJ7sABBN+LlJQUMjIypKIA D7y1iG2H1ActmD3n52+fzJTBXSy6zmOPPcY///lPrY9zlsDAQNLS0ujatav0NQ7nFnPvGwupqW9q s82sUT358w3jpPK9dY3NTHvyU6H1FI7N/VcN5/Yp4gqmRRU13PmPbzlVLpWf/g3enu6M79eZcf2S GdqtIz5eVklh2wyj0UReSSWZJ0s5evqVebKUoor/vR9Bft68+cB0eiZGS68zZMgQtm+Xuo9PAlZa ek7lAJhZBMwQNfrss8+45ZZbhBc7dKKYW1+er+RYgd/PGsktkyxrj3v99df5wx/+oPVRzqLX61m4 cCHTp0+XvsbxUxXc9dq3VNaKh+RnjuzJUzeNl1r37YWb+exnx57Spmg7S166nRjByZnNrQbufHUB GbnFNtmTp4cb/VM60Dc5lt7JMfRMjMZXA4fAaDRxqqKG/NJq8kuqyC+tIr+0mpMlleQUltPY3HpB 26iQAN55aIZFg8nWrl3L+PFSv6cmzF0AlZa+B8oBMDMM2CJq1LlzZzIyMnB3F69Y/9OHy1izJ0vr c2vKnPF9efRaoRrM3/D1119zww03aDJJ7EI888wzPP3009L2FTUN3P7qN5wskR+E8odrRnPDZX2F 7Y6fqmD2M3Pt8TYpbEzHqGC+f1b8AeXfy3bw/g/iw89k0et0RIcFkBQTSlJMGNGhAUSHBhAV4k9Y oC8Bvl5CXUF1jc1U1TZSWdtAZV0j1XWNVJ1+lVXXm2/0JdUUlldLyWEPSI3jpbumEBboK33mlpYW Bg0axN69e2XM9yKYtr4Q7VkH4Fy2AusRnLOclZXFF198wW233Sa84H0zhrFhX0671WOfMCCFR64Z ZdE11q1bx6233upQN/8ZM2acd9pgW2luMfDoBz9adPMHeGfhZoZ0iyc5Vky0KiE6hNT4CDLzLJYZ V2jMgJQ4YZua+iY+W2HfCJDRZKKgtJqC0uoLDk7zcHcjwMcTH6//1WvrdbqzRbEGo5H6xmbqGlsw 2OjzQK/TcduUgdxz5VCLNEoAXn31VdmbP4DVCp2cvyrDehQBN4oaHThwgPvuu0+4yjvY34fq+kb2 Hzul9bntTr/Osbx2zzTc3eQr4/fv38/kyZOpq3OcGffdunVj6dKl0kV/JhP87dMVVpHnNRhNHD9V wTSJIUo19U1sP5xn8/dLYVtumtCPzh3ChWwWbjzI+r05Wm/9NxiNJhqaW6mpbzr7qq5vPPv32oZm mloMMpr6bSLY34dX/u9yZo3qaXF7486dO7ntttswGAyyl3gQ8/3KYpT6x/9Yjjm0IkR2djaff/65 1IJ3XzGk3Q3l6BgVzGv3TsPTQ973zMvLY+rUqRbPCrcmkQMmfS0AADINSURBVJGR/PDDDwQGyuus v//DVlbstJ5OxM4jJ6WKTQd3jbfJe6SwL107RgrbrNildEp+zcAucXz51ByG97BsHDlAUVERs2bN oqlJutA2DdhnrbMpB+B/mIC/yxi+8MILUt/QAF8v7p0+TOtz242QAB/eemAGQX7ybXEVFRVMnTqV /Px8rY9zFj8/P5YsWULnzp2lr/HT9iP856cdVt/bvFV7hG26dIxw+CptxcXR63XCQ39q6ps4eEyJ QZ3B19uTP88Zx/sPzyIyREqu9xc0NDQwe/Zs8vIsiq69Y80zKgfglyzA3BIoxLFjx3j77belFrxq RA9S48TCdM6Ip4cb/7xnGnER8mN0GxsbmTFjBgcPHtT6OGfx9fXl22+/ZfDgwdLXyMwr4cUvVttk f2kZuZRUiqVJ3PR6unUSf3pUOA6xYYF4CPbdH84rsVn+3NkY3TuRr/92I7PH9LKKkFFzczOzZ89m 06ZNllwmC3P/v9VQDsAvMQLPyhi+9NJLUoNn9HqdxZXwjo5ep+O52yZZLPRz0003sXHjRq2Pc5aI iAhWr17NlClTpK9RVdfIYx8svWjLkSUYTSY27j8mbJcQFWKT/SjsQ8fIYGGboydV4WeH8CBev/9K /nXflcLtkxeipaWFG264gWXLlll6qacxS9hbDeUA/JbvkKgFqKio4Pnnn5dacEBqnMVCOI7MAzOH M2FAikXXeOihh2QVs2zC4MGD2bJlC0OHDpW+htFo4olPfqKgrNqme5WRnpaVjlU4BiEB4i1q+aW2 /Tl0ZPx9PLlvxjC+efpGRvVKtNp16+vrmTlzpjU+u7ZiHmBnVZQD8FtMwDMyhu+//z5ZWXK9/Y9e M5pgf9crCJw1qqfFg0heeeUV3nnHqqkvafz9/Xn99dfZsmWLRTl/gLcWbmZ7hu2r7WVG/UYGW57z VGiHv4/QeBMASqscp6PGXni4u3H9+D4sev5W7pg6yKIppL+msrKSyZMns3TpUksv1Qj8DrB6i4Ny AM7PYmC3qFFzczN//vOfpRYMCfDhDxb2xTsaw3t04vE5Yy26xty5c3niiSe0PgphYWE8+eSTZGZm 8vDDD0tJQJ/LsrTDfLFS+EdMiuq6RmEbS/ucFdri5y3uAFTWiv+cOCse7m7MGtWT7569mceuHWP1 h68jR44wdOhQS3P+Z3gSidq0tqCEgM7PmSiA8LjF7777js2bNzNihPgAjsuHdOWntCNsPWR5H7jW pMaF8/LdUy2aM79y5UruvPNOm/X2Xgq9Xs/w4cO56aabuPnmm/H1lVf+OpdDx4t48Qv7TSwUHeQC tFuBKldBJgLQ3GKbOhRHwtPdjRkjenDr5AFEWynH/2uWL1/OnDlzqKystMblVgNv2ur9UA7AhfkR szzwcFHDhx56iLS0NKmnxCduHMd1z82T+tB2FMKD/Hj9/ivxlXgKOcP+/fuZPXs2LS32fR88PDwY M2YMs2bN4qqrriImxrq58NKqOh77cClNdvywDZJ4ummRFylROACiHQAATa2u+z2PDQ/k6lG9mD68 u820VwwGAy+88ALPP/+8JSI/51IK3Ia5ON0mKAfgwpiAP2AuvhCKh+7atYv33nuPBx98UHjR2LBA Hpw5glfnr9P6/FJ4ebjzr3unERUi710XFBRwxRVXUF1t+6IkNzc3BgwYwLhx4xg7diwjR47E3982 +e/ahmZ+//ZiiitqbX6uc5FpM1URAOdG5vvnakkffx9PxvRJZvLAVIb26Cg1IbOtFBYWcuONN7J2 7VprXbIRuAo4acv3SDkAFycNc9/lHFHDv/zlL1x99dXExsYKL3rNmN5sO3SCDfvE27e0RKeDZ26b SPeEKOlr1NbWcuWVV1oqlnFBAgMDGTRoEEOHDmXYsGGMGjXKIvW+ttLcYuAP7y0h82Spzdf6NaN7 i1c1KwfAuWmReJr3lIgaOBphgb4M69GJ8f2SGdq9k13O9PXXX/Pggw9SUmK1NkoT5if/zbbeu3IA Ls2TwExASL6uurqaRx55hK+//lp4QZ0O/nbLBOY8/yUlTlSZ+3/ThjLRgnY/g8HAnDlz2L3bOsVx bm5udO/enSFDhjB06FCGDBlC9+7dhec2WIrBaOSJT35i91H7qxd2CA9ibN9kYTvlADg3Mt8/H2/n U3/09fakV2I0Q7rFM7R7J1I6hFtFuKctnDp1ivvvv5/vv//e2pd+EhC/cUigHIBLcxxzEcbjoobf fPMNd9xxB5MnTxZeNNjfh2dvm8QDby06O/HKkZk6uAt3Xi6vhgfm2okff/xR2t7f35+RI0cyZswY hg0bxoABA2wWzm8r9U0t/PnjZWw5YP/CTp0OHr9+rNRTkHIAnBuZ4tvnbpvEe4u38tP2I1IRBFuj 1+voGBlM146R9E6Kpm9yLJ07hGvSsfLFF1/w8MMPS4m/XYJXgJftdQ7lALSNl4DbAWF91Pvvv5/9 +/fj4yNeeDK4Wzw3T+rPZz/bdzynKL2TY/jrzRMs8rz/9a9/8e677wrbdenShZkzZzJ9+nQGDRqE u7vj/EiXVtXx8LtLOJxbrMn6t0wawPCecgNMWlURoFMTEewnbBMe5MffbpnAQ1ePZP3eHDbuO8be 7ALKaxrsunc3vZ6YsADiI4LpGBVM5w5hpMZFkBwbhrentr/f+fn53HvvvSxZYrWJvOfyIvAXe57H cT4tHZtqzG2B74kaZmdn8+yzz/Lyy3JO3X0zhpGRW2wXwRgZYsMCee0ey6b7LVy4kD/+8Y9t/vro 6Gjuvvtu5syZQ7du4uNu7cHh3GL++MFSCstrNFm/X+dY7pshP2iqpVVFAJyZ8CBxB+AMQX7eTB/e nenDuwOQW1xJVn4pJ4oqyS2qoLC8hvKaBipr6qmobaCtAUoPdzcCfL0I8PEi0NeLkAAfIkP8CQv0 IyrEn/AgP+LCg4gND7RoVLgtaGho4LXXXuOVV16x1QjyZ5CUobcEVyv8tCXumCWCu4saurm5sW7d OkaOHCm1cHV9E7e9/DW5xZVavwe/wM/bk//86RqSY8Okr7F9+3bGjRtHfX39Jb922LBhPPDAA8ye PRtPT/kWQ1tiMBr5YuUePliyTbMwalRIAJ8/cR1hgfK6BV+uTudfCzZosn+F5Xz11xtI6WCfIWO1 Dc0YTSbqG5t/M0zI38cLnU6Hp7ub5k/vMphMJr755hv+9Kc/kZsrPlq7DRiAx4A3tDif831HtKMV +CMgrOtoMBi49dZbSU9PJyBAvD0u0NeL1++/ktte+Yaaeuk50lZFr9fx0l1TLLr5nzhxgunTp1/y 5j9gwAD+/ve/M3HiRK2PfVHSswp45at1HM23f6X/GXy9PHj9/istuvmDqgFwdiIsiACIckZ0KNDX S+tjW5WdO3fy8MMPs3mzzYrxa4EbAJvkE9qCY8VZHJ9lgFTJZ05ODo888oj0wp2iQvj7XZYp61mT x64dzYieCdL2NTU1XHnllRQVXXj+uJ+fH6+//jppaWkOffPffjiPe1//nrte+1bTm7+bXs8Ld06x ynhpVQPgvHi6uxHk53pzRezFGRGywYMH2/LmXwCMRcObPygHQIYHgUoZw3//+98sXrxYeuGh3Tvy 7G0TNddpv2VSf64d20fa/ky73/79+y/4NSkpKWzbts0quvu2wGg0sS49m1tf/pr73ljIjiM21eu4 JHqdjqdvnSDV838+VATAeQkP8rNbK5wrcfDgQa699lr69u3Ld999Z0sJ8g3AQEDz6m6VAhCnAHNL 4Icyxr/73e8YOnQoUVFyYjlTBnehxWDk+c9XadIeOHVwFx6cKVfLcIbHHnvsohOy+vTpw+rVqwkL k08v2Ir9x06xfPsRVu46Snn1pesW7IFOB3+8fgyXD+lqtWsqB8B5CZfoAGjPbNu2jTfeeIMFCxZg NNr0596Euc3vr5hTypqjHAA5PsacuxkjalhcXMz111/PypUrpVvWrhzWjVaDgZfmrWlzBa41mDgg hWdum2jR08VHH33EG2+8ccH/n5SUxKpVqxzm5m80mcjMK2FtejbLt2eSX1ql9ZZ+gV6n40/Xj2X2 mF5Wva5KATgvapTzpamrq+Orr77i/ffft5rw2CUoA25FoobMligHQA4T5vnMexFUCARYt24df/rT n/jXv/4lvYGZI3vi7ubGS/PW2KXafNLAVJ6/Y5JFNQirV6/mgQceuOD/9/T05OuvvyY83D7Vyxei uKKWbRm5pGXksv1wHhV27oNuK256PU/fOsGqT/5nUBEA58WeBYDOhMFgYMOGDSxYsIAvv/ySqiq7 OfMrgDuxsa6/DMoBkCcTeB6zeIMwr7/+OoMGDWLOHOExA2e5clg3OoQH8qcPl1FZa7ub1A2X9ePh 2SMtGqZx5MgRrrnmmotO97vrrrsYOHCgzc5xIYora9mfc4o9WfmkHcrj2Klyu+9BFD9vT168cwoj eyXY5PrKAXBeZESAXBWDwcD69etZsGABCxcuvGjRsQ2oBR7FHDF2SDlXVSpiGR7ATqC3jLGfnx9b tmyhd28p87Pkl1bxyLtLyCm07o3L092NR68dzdWjLQsvl5eXM3ToUI4ePXrBr9Hr9Zw4cYK4uDir nuHXtBqMHM4tZv+xU+zPOcXe7EKKKrQR65ElNjyQ1++70qIWzEvx0rw1fL/xgNZHVUjw3O2TbBIV chaysrJYtWoVq1atYs2aNVRUVGixjdWYo8Q5Wr8fF0NFACyjBbgL2ILEe1lXV8esWbNIS0uzKOfd ITyIz5+4ng+WbOPL1XswGi13NuMjgnnxril07ySsfvzLN6ilhauvvvqiN3+AoUOH2uTmX1HTwL6c QvZmF7Av5xQZJ4ppanGI+hspRvVK5OlbJxDsb9s2LxUBcF4i2lENgNFo5NChQ2zfvp0tW7awevVq jh8/ruWWSjE/9c/FQZ/6z0U5AJazA3gBs5SjMNnZ2VxxxRWsXr0aPz/50J23pzsPXz2SSQNTeWHu Kumxsx7ubtw4oR93XzEYLw/LfjxMJhP33HMP69atu+TXjh071qK1ztDY3Mr2w3ls2n+MXZn5nCjS xPu3Oh7ubjw4czhzxvezS4uXcgCcF9EagJaWFv7yl78wdepURowYgYeHY04FbG1tJSsri4MHD7Jr 1y62bdvGzp07qalxiAieEfgM+BNmJ8ApUCkA66AH1iDRFXCGyZMn88MPP1hF4tZoNLFubw7z16S3 eQStp4cbUwZ14a4rBhMbFmiVN+Wpp57ipZdeatPXvv/++9xzzz1S67S0Gth04Dg/bs1g26Fcp37C Px89E6P5682X2TTk/2ue+PgnVu46avmFFHZn/Rv34Ofd9s+RvLw8OnbsCEBgYCDDhw9n8ODBDB48 mF69ehEfH4/OTsICRqORwsJCjh8/zokTJ8jMzOTQoUNkZGSQmZlJc3OzJu/pJdgG/AHYqvVGRFER AOtgxDwtMB2Qunv+/PPP3HrrrcybN8/iefV6vY7x/ZIZ3y+ZjNxiVuzIZOeRk2QVlJ3tGNDrdESH BpAcG8bIXglMGJBCkJ9wQ8MFefvtt9t88wfzB48MS7dl8Nb3mylzkJ58a+Lv48n/XTmU68b2sbv4 k8EKaSSF/fH19hS6+QMUFBSc/Xt1dTXLly9n+fLl/7umry+pqakkJSXRoUMHYmNjiY2NxcfHh+Dg YNzd3QkMDMTLywtfX1/8/Pzw9PQ8m3tvaGigsbERk8lEWVkZZWVllJeX/+LP/Px8cnNzycvLu2ih sINxFHgKWKD1RmRRDoD1OIa56GO+7AXmz59PeHg4b7/9ttU21a1jJN06mvP4rQYj9U0tNDa1EOzv Y9EEv4vx9ddf8/DDDwvZVFZWSq01slciWw/lsnz7EZucRQvc9HquHt2T300bYvNc/4VRDoAzItMC eK4DcD7q6+tJT08nPT1d6+M5CmXAc8D7mOvAnBblAFiXr4ERmOWCpXjnnXcwGo28/fbbFkcCfo27 m55AXy+bDu346aefuOWWW4QVtTIyMqTWC/Lz5oU7JnPtmN68s3gLuzPblvJwRDzc3bhiaFdumTSA jpHBmu5FA5FJhRWIChEvALyUA6A4SzXwDvAa4BLFRcoBsD6PAv0xOwJSvPfee5SVlfH555877Njb 8zF//nxuvfVWqTxdWwoFL0bv5Bg++sPV7M7M55v1+1iXnu00hWyhAT5cObw7143rY3UVN4PBwNat W4VHUav7v3MSHSo+bTQ/33mdZjtRC7wLvAo4vkiIAMoBsD4twBzM+gDSPXRff/01FRUVfPfdd/j7 O35bz3vvvceDDz4oraV94MABdu7cabEQUP/UDvRP7UBpVR0/78hk/d4c0rMLrNIaaU083N0Y0i2e K4Z2Y2yfJDzcrZ+OaWpq4uabbyY2NlbcAVAhAKdExgGw0Zx7V6AM8xP/G0gOgHN0lANgG/KAmZg7 A6Tj7StWrGDChAl8//33xMbGan2mC/Lcc8/x9NNPW3ydl19+mW+//dYqewoP8uPGCf24cUI/Kmsb 2H44j/05p9h/7BRH8krsIp/8a0ICfBjUJZ6RvRIY1SuRABumYoqKipg1axZbtmyxaAy1wrlQDoBV 2AV8BHwBuF518TkoB8B2bAHuBj635CJpaWn069ePuXPnMmnSJK3P9Auqqqq48847+e6776xyve++ +44VK1ZY/ZzB/j5MGpjKpIGpADS3GDicW8yRkyXkFJRz/FQ5x05VUFpVZ7U1PdzdSIoJpWvHSLp2 jKBPciwpHcLt0sOfnp7OjBkzzn6wy7RwqQCAcxIdohwASWow3/A/APZpvRl7oRwA2zIX6Ao8aclF iouLmTp1Kk888QTPPPOM9BRBa7Jz506uu+46cnKsq3R56623snv3bmJiYmy2d08PN3onx9A7+Zdr 1NQ3UVRRS3FFLWU19ZRU1lJWXU9Lq4HahmaMJhM19U2YMOHn5Ymbmx4vD3eC/b0J9vchLNCX6NAA 4iKCiA4JsHvrHsDnn3/OfffdR13d/5wZuR5u5QE4I6IRgNbW1vZcA1CLeTrfd8AywHpPAE6C9ncS 1+cvQBTmaVDSGI1GXnzxRTZu3MhHH31Ely5dNDlMa2srb731Fk8++SRNTU1Wv/6pU6eYPn06q1at IigoyK5nC/D1IsDXi84dHGMUsQg1NTXcd999fPHFF7/5fzLdJCoC4HzodOIOQEFBAa2triWcdQkq gZXAj5hv/O3upn8u1u0zU5wPE3APsMQaF9uwYQO9e/fmscces+c4y7NrDxgwgEcffdQmN/8z7Ny5 k8svv5zycpcquLUZW7duZcCAAee9+YNkCkBFAJyOkABfYW2PdhD+bwLWY5ZqH425MPtazKnZdn3z B+UA2ItW4DrMP4gW09zczD//+U+6dOnCv//9b5t78EePHuWGG25g7Nix7Ntnn/TYli1bGD58+CWH CLVnampq+P3vf8/IkSMvOWlRFBUBcD5UASAAjZgleV8CJgKhwFjgWWAjTi7cY22UA2A/GoDLMXcG WIWioiLuuusuUlJSePPNN6XV9C7EunXrmDFjBl27duWrr76ye2vYkSNHGDBgAPPmzbPrus7AokWL 6NmzJ2+//fYlWy9VBKB90A4LAFuAQ5hrrR4GRgEhwHDMEr2rcPEqfktRDoB9qQemY6VIwBmOHz/O ww8/TExMDHPmzOGbb76RcgZMJhPbt2/nqaeeokePHowbN44ffvhBurffGtTU1HDTTTcxdepUsrKy NNuHo7Br1y7GjRvHzJkz2/zhLVUEqO7/TkdMmMs6AFWYdVW+wvwkfyNmsTU/oAdwC/AmsAlzBEDR RlQRoP2pwxwJ+Aa4wpoXbmxsZP78+cyfPx93d3d69OjBkCFD6NatG0lJSURHR+Pj44OXlxfV1dVU VlZSWFjIwYMH2bdvH7t376aoqEjr9+e8LF++nJ49e/KnP/2Jxx9/3KLRyc7I4cOHefHFF/nyyy+F HTKVAmgfRNk+AnAC8AQisN69oxooAIqBfKDo9H+fwjxfJfP0/1PYAOUAaEM9cBXwMXCbLRZobW1l 79697N27V+uzWo2mpiaef/75s6qDDzzwAGFhzlexL8LevXv517/+xbx58zAY5MSLZBwAo/IAnA6Z CMCJEydEvnwO/xt5G3H6FY7ZKfAAfi1Z6o45ltSIueWu+py/12B+GFIheg1RDoB2tAJ3YPZ6nwTs 3zRuX34GxgAWzxwuKyvjmWee4bXXXuPOO+/krrvuomfPnlqfz2o0NTXx3Xff8eGHH7JhwwaLr2ev We4KbZEpAszLyxP58nO9hZLTL4UTo2oAtMWEWSfgOly3JcUEvII57XELVswu19bW8uabb9KrVy8G DhzI22+/TWFhodbnlWbHjh08+uijxMXFceONN1rl5g+qCLC9IFoEWFlZKdJK3Iw5LK9wIVQEwDFY gDnX9T2QpPVmrEgNcDOw+Jxz9gL+au2Fdu3axa5du3jooYfo168fl19+OZdddhkDBw502GFKra2t pKWlsWjRIr799luOHz9uk3WkujfU/d+p8PZ0J9jfR8hGMP9/EnCO8ZqKNqMcAMdhL9AP89jJm7Te jBXYcfocmb/696cxi3H8ny0WNZlM7N69m927d/PCCy/g5uZGz549GTZsGEOHDmXIkCGkpKTg5mb9 6XuXoqWlhQMHDrBp0yZWrVrFunXrqK6utvm6MrUDahqgcxEdGiA8Z0LQAXCKdgGFGMoBcCyqMT8x LwfextzT6mwYgJcxt+ucT3TDBNyLubXnTzbfjMFwthjygw8+AMDLy4suXbrQtWtXunfvTrdu3ejc uTMxMTFERUVJFc2dS0tLCydOnCArK4usrCwOHjzIrl272Ldvn00VFC+ETBunuv07F3YQAVIOgAui HADHZB5mEYt/ATdovRkB0oH7+F+l8IUwAY8DFZgVu+xapdbU1MS+ffvOq2ro5uZGVFQUMTExxMTE 4OPjQ1BQEHq9nuDg4F/k0+vq6mhubqauro7i4mKKioooLS2luLjYofTVZSIAqmzQuVAOgEIG5QA4 LkWYBS/+C7wG/9/evQdnVR54HP++uV8JuQK50KBECIhALSoW6qoVHKu1FDsu1kFbL63dcTu647q7 dtbK4Bbb3WntrO4y2tVVWqfLytiFKq2Clxa5KcYqCM2FgCEkBAISciG3s3+cQFEx7/uc5H2f95z3 95k5045znnPeJwSe3/tcucD2BxrGMdxx/f/A7QGI1ArgfeC/cbfstG5gYIDm5maam5ttf5RR46UH IGThJEPxzssugIZLABUAAkirAOLfy7hzA27l48tw4kEn8GOgCvh3zBr/U9bh7uq1zXZlgspLD0DK CIdBJLZisAtgbE8ek5jQ33J/GMT9llyFu5QuNifyfLajwE9wVyzcDxwe4fP2AfNwl0R2W65b4Hjp AUhSD4CvxGAIQH8vA0gBwF/6cA++mAVcOfT/Y7mT1nbgdqAcdwLfaG7R2Qc8jDvU8XIM6xR4XnoA kkL6p8FPTANAX1+f6Z4ZCgABpL/l/uTgniq4FBiPO1dgFaO/Z/YAsBX4B2AycBHwC6IbOuqABbhb Jb8XxfckDE9DAMnqAfCLpFCIkrFme10cOHDA9PdCASCANAnQ/zqAXw1dSbjzBebgfpOeAZwPjI3g OQ7QiDsp7z1gE+752R2W6vUbYC3uLokPAlMsfY541Q58AHwx3I3ehgD03cAvivKySU0x29fCcAIg KAAEkgJAsAwCbw9dZyrC3XynBPfPPBf3L3Q/7uSeU6dx9UX8ptjV5zng17hbCf8t8GUSe5XaTuDn uD0+i4kgAHjpAUjWHADfiMH4PygABJICQGI4PHTtsv1BPBrEXS2wDqjGnYfw10Cp7Q8WIz3Ai8B/ 4u4P4Zzx38NSAAi2GAWAiH7XxF/Uzyd+8wHwd0AF7kTIX+DumRA0g8CrwG3ABNxv+y/z8U36ohYA QoPejh6W2BtfYH7WheEpgKAegEBSD4D41SDuRMiNuEMCs4GrcScQzgGybH9AD07gNvq/A17APSp6 OBEFAE8bATkKAH5RWphnXEZDAAIKABIMDrBj6PoXIBV3qeTcM67P2f6QZ9GDu33y67iN/ibcY1dN yoflqQdAAcA3yorHGJfZu3evye0ObjiVgFEAkCDqw92zYDvuhDlwV0LMGLouwF0dcS7uMspYOIa7 xLHmjM/2PiObeBnFHgCd/OoXZYY9AI7jmK4C6MLbLp8S5xQAJFEcw13W+IdP/PdMYNIZVzFQyF9W TuQDp75ipQHZZ5Q9jvsP40ncb0iHgbah/23F7cKvG7qORKFOUesBSArpPEA/CIXMJwEePHiQ7m6j Hn1bS4ElyhQAJNF1466O8OMKiSgOAagHwA+K83JISzXbA8Cw+x/coCsBpFUAIv4VtSGAZPUA+EJZ UdTH/0E9AIGlACDiXxH14/b2mswrdIVQAPCDCYUKAOKdAoCIf0XUA+AlACRpCMAXyovNlwAqAMgp CgAi/hVRAOjpMd/ETRsB+kNpbHoANAcgoBQARPyrf+galpcAYHq4jNgRowBwzHY9JToUAET8LWzr fvLkSeOHpqWl2q6XRMB0E6C+vj6amppMX9Nuu54SHQoAIv4WNgB46QHISE+3XS8JIzUlmeI8s3MA 9u/f72VZqAJAQCkAiPhbVHoA0tUDEPcmFOSSZDhZw0P3P0RnEyuJAwoAIv4WlR6A9PQ02/WSMEpj swcAqAcgsBQARPwtKj0AGQoAcS9GEwBBASCwFABE/C06cwDSFADiXVmR+R4ADQ0NXl6lIYCAUgAQ 8bewuwH29vbiOGY7+6UrAMS9GA4BHLVdV4kOBQARfwv79d5xHOPdADUEEP/KYjMEMICGAAJLAUDE 36KyG6AmAca/MsNtgDs7O2lrazN9zWHcECABpAAg4m+dkdxkOhFQASC+ZWWkkZedYVTGY/f/Qdt1 lehRABDxt4j2aTftAdAQQHzz0v3vcQJgi+26SvQoAIj4W0QntRn3AGgSYFyL4QTAVtt1lehRABDx t4gCgHEPgHYCjGtlsQsA6gEIMAUAEX+LUg+AAkA8Ky003wNAPQDySQoAIv4W0RyArq4uo4empaYQ MttmXmLIyxBAY2Ojl1cpAASYAoCIv0XUA9DREdFtp4VCIVJTkm3XTT5DeeyGAD60XVeJHgUAEX+L qGU/fjyijoKP0TyA+DXBcBVAW1ubcQgcst92XSV6FABE/C2ilt1LAMhOVwCIRwW5mWQa/tl4/PY/ ABywXV+JHgUAEX+LWg9ATma67brJWZR6OATIYwBoBvpt11eiRwFAxN+iMgcAIDtTewHEo4klY43L eAwA+2zXVaJLAUDE36I2BJCjABCXyg3PAADPuwBq/D/gFABE/C16PQAZCgDxqMJDD0BdXZ2XVykA BJwCgIi/nQTCnvXraRKgAkBcqvDQA1BbW+vlVZ66DcQ/FABE/C/s13tNAgwO0x6A7u5umpubvbzK U2oQ/1AAEPG/sAHAyxCA5gDEnzFZ6cbHANfX1zM4OOjldZ7GDcQ/FABE/C/s13sNAQRDDMf/u3GX AUqAKQCI+N9H4W5QAAgGLwHA4/h/HeCp20D8QwFAxP+OhLtBywCDwcseAB57ADT+nwAUAET873C4 G06cOIHjOEYPzdYkwLjjZQ8AjwFA4/8JQAFAxP/CBoCBgQE6OzuNHqoegPgTwzkAO23XVaJPAUDE /8IGADAfBtAcgPhTbngOQE9PD01NTV5epQCQABQARPwvogDQ3t5u9NBcDQHElTFZ6eTnZhqVaWho 8LIEcADYZbu+En0KACL+F1EAOHw4ottOy8vJIDlJ/0TEi8rxBcZlRrACoNt2fSX69LdbxP+iEgCS QiHG5phtOiPRUzk+37iMxwDwvu26SmwoAIj4X1skN5kGAICC3CzbdZMhXgLABx984OVVCgAJQgFA xP8OAmHX+HkKAGMUAOKFlwCwe/duL69613ZdJTYUAET8rxsIO8PvyJGw+wV9SqECQNzwMgdgz549 Xl71lu26SmwoAIgEw4FwN3jpAVAAiA+pKcmUFY0xKtPa2uol9LUAH9qur8SGAoBIMIQNAIcOHTJ+ qIYA4kNFcZ7xigyP3/63266rxI4CgEgwhA0ABw8eNH6oegDig5fuf48TABUAEogCgEgwhA0Azc3m p7tqFUB8iOEEQAWABKIAIBIMYQNAe3s7J0+eNHqohgDiw+SyQuMyHgKAA7xtu64SOwoAIsHQGO4G x3GMhwE0BBAfplaUGJfxMASwmwj3lJBgUAAQCYb6SG4yDQBjczJICoVs1y2hZWWkUV5idghQR0cH H35oPJn/ddt1ldhSABAJhn1Ab7ibTOcBJCclqRfAsinlRcYhrKamxsshQAoACUYBQCQYBnBDwLC8 HA07wXD9uYyuqRPNu/937Njh5VVv2K6rxJYCgEhw1IW7obGx0fihZYUKADZNrxxnXObtt43n8tUC 5stExNcUAESCI2wA2Lt3r/FDJygAWDW7qtS4jIcA8JrtekrsKQCIBEfYiYBeAkCpAoA1ZUV5jMvP NSrT1dXlZRfA9bbrKrGnACASHDvD3eBlCKDCcAa6jB6v3/4HBgZMivQBr9iuq8SeAoBIcLwT7obj x48bHxAzaYL5JjQyOj5fVWZc5vXXjSfzbwKO266rxJ4CgEhwHCGCHQHr6sJOFfiYgtxM8nMzbdct 4YRCcEn1RONyr732mmmRl2zXVexQABAJlnfD3bBr1y7jh07ycBiNjEz1xBJK8nOMyvT29rJ582bT VykAJCgFAJFgqQl3w86dYacKfIqXvehlZOZfcI5xmS1bttDV1WVSpB54z3ZdxQ4FAJFgCdsD4CUA eFmLLiNz2UzzAPDqq6+aFlltu55ijwKASLC8Fe6G999/3/ihMyZNsF2vhFJenEdVWZFxufXrjVfz /a/tuoo9CgAiwdIADHsKTFNTE8eOHTN6aEXJWPKyM2zXLWFce0k1pmcwNTc3s23bNpMiDYCnPYMl GBQARILnD+Fu2Lp1q9EDQyGYM7XCdr0SQlIoxFfmVhuXe+GFF0wPAFoNOLbrK/YoAIgET9hDXTzM FOfyWefarldCmDO1ggkFZrv/AaxZs8a0yHO26yp2KQCIBE/YnWDefPNN44fOm1FJWmqy7boF3qJ5 043LHD16lDfeMDrM720imDAqwaYAIBI8e4DW4W7YunWr6XaxZGekcfWcKbbrFmgTx43litmTjcut WbOGvr4+kyJP2a6r2KcAIBI8DvDycDccP37ceB4AwJIrZ9muW6DdsuBCkpIMZ/8BTz75pMntPcCv bNdV7FMAEAmmsAPCzz//vPFDq8qKuEiTAaOiJD+Hay6ealzuvffeY8uWLSZFXgCO2q6v2KcAIBJM 64HO4W5Ys2YNjmM+CVy9ANHx3esuITXFfI7FE088YVpkpe26SnxQABAJpm7C7PHe2Nhoum4cgC+e X8nEcWNt1y9QplWO41oPS/96enr45S9/aVLkHeA12/WV+KAAIBJcYfv4V640/zKYFAqx5IpZtusW GKEQ3HfjZSSZ7vwDrFq1ivb2dpMiP7VdX4kf5r9xIuIXucAh4DO38MvMzKSpqYmCArPT/rpP9vHV HzzN0Y5u23X0va/Nm84Pbr7SuFx/fz9TpkyhoaEh0iIHgUqg13adJT6oB0AkuDpw5wJ8pu7ubp5+ +mnjB2emp3Lrwi/Yrp/vVRSP5d4b5nsqu2rVKpPGH+Ax1PjLGRQARILtf8Ld8Pjjj5tuIQvANy67 gHH55jvWiSslOYnlty0kKyPNuOzAwAArVqwwKXIMNwCInKYAIBJsa4FhD4ivr6/nxRdfNH5wWmoy d3zlItv18617bpjv+Zjl5557jj179pgU+SluCBA5Tft6igRbLzALGHZ/2YaGBm6//Xbjh59XUczm Xfs5dOyE7Xr6yje/PJvbPYanrq4uFi9ezEcffRRpkWPATbgbAImcph4AkeD7dbgbtm7dysaNG40f nBQKcf+Sv/I0gz1RXXVhFd9fPM9z+Yceeoh9+/aZFPk39O1fzkI9ACLB1wjcDaQPd1NTUxO33HKL 8cOL87I5fLyLD/Ydsl3PuDd/xiQevv1qUpK9fffavXs3t956q8k5Di3AzWjyn5yFegBEgq8b+E24 mzZu3Gi6pexp3188j/LiPNv1jGvXza3mX+/6CmkedvsDcByHu+++m95eo7b8AdzVICKfogAgkhjC DgMALF++3NPDs9JTWfatBSQn6Z+Us7ll4YX889KrRvTzeeyxx3jllVdMirwFPG277hK/NAQgkhga ge8BWcPdVFtby+WXX05lZaXxC8bl55IUCvHWnibbdY0b2RlpLPvWApZcMYuRTJN49913ufHGG+nv 74+0iAMsAYwmC0hiUQAQSQyDuLvAzQl3Y01NDXfeeSchDy3W7Mll7D90lPrmI7bra92UimIev2cR syeXjeg5nZ2dLFy4kJaWFpNiq4BHbf8MJL4pAIgkjibgu+FuamlpYdKkScyaNcv4BaEQzJtRyZZd +2j7qNO4fBCkpSZz2zUX8cNbr6IgN2vEz7vjjjvYsGGDSZE24HrC7P8gorU7IollOxB2D9+ioiJ2 7dpFcXGxp5e0d3TzvZ+toe5AYvUEzJlSzv1LLqdyfP6oPG/ZsmU8+OCDpsVuIIKDoETUAyCSeK4L d0NXVxctLS0sWrTI0wsy01O54vNVvLlzX0IcGDSlopgHbr6Sv/napYzNyRyVZz711FPce++9psWe Bx6y/fMQf1APgEhiycKdGFYUyc3r169n4cKFnl/W3tHNvY+v5f29RuPXvnFeRTHfufZivnTBOSOa 5PdJL730Etdffz19fX0mxQ7i7vqoDRkkIgoAIonnh0BE/cqlpaXU1NR4HgoA6O0fYMWvXuX/3txl u96jIjkpifkXTOKGL83g4uqJo9rwA7z88sssWrSIzk6jORSDwELAaJ2gJDYFAJHEU4jbC5Adyc3X XHMN69at87Qq4EyrX/8Tjz7/R3p6I17KFlfG5efy1UurWTTvfEryc6LyjtWrV3PzzTebbvYD8APg Yds/I/EXzQEQSTzdQBkRLAkEd2+AMWPGMHfu3BG9dHrlOK6eM4V9rUf5sC3ig2ysKsrL5rpLp3HP DfO55xvzmTOlguxM8+N7I7Fy5Uq+/e1vm6z1P+V3wF24a/9FIqYeAJHEVA78GYhoxlpKSgq//e1v WbBgwai8/Pdv/Zkn1m1jb0u77Z/Dp0wuK2TutM8xb0Yls6vKon7Q0cDAAA8++CAPP+zpC3wtMBdI rOUWMioUAEQS1wrg/khvzsvLY9OmTUyfPj3SIsMadBxeq2ng6fXb2WXxIKGCMVl8vqqMudMmMnfa 56LWvX82ra2t3HTTTZ5OYsRt9C/FDXIixhQARBLXWKAOd05ARCorK9myZQvjxo0b1Q/y5w/beGVH Ha+8Xcv+Q8eiVuFQCCaW5DPz3AnMripj5rkTmFgyNmrvG84bb7zBkiVLaG5u9lK8F7gaeNXKh5dA UAAQSWz3AT82KXD++eezYcMGSkpKovKB6puP8KeGg+xsbGVXYyv1ze0MDA4aP6dgTBaTxhdwbmkh k8uGrtJCsjKiM4YfqZ6eHpYvX84jjzziZbwfYAD4JhEe8CTyWRQARBJbGrADMOrXnz59Ohs2bBj1 noCz6esf4GhHN20fdXLkeBdHO7oYGPz4fLfkpBD5uVkUjcmiaGw2BblZpCTH38mEGzZs4K677qK2 ttbrIwaBbwHP2K6LiIj438VAP+4s8oiv6upq58CBA46Ed+jQIWfp0qVOKBQy+hl/4hoEvmP7l0VE RILl53holEpLS51t27bZbl/jVkdHh7NixQonLy9vJA2/gxvQbrP9SyIiIsGTi7s5kHHjlJGR4Tzz zDO229q40tnZ6Tz66KNOSUnJSBt+B/dUP2+HMoiIiETgEuAkHhqpUCjk3HfffU5PT4/ttteq1tZW Z/ny5c748eNHo+F3gHZgvu1fDBERCb7vM4IGa9q0aQk5JLBjxw7nzjvvdDIzM0er4Xdw1/dX2/6F EBGRxPEMI2i4UlJSnAceeCDwvQHt7e3OE0884Vx66aWj2eifutYC+bZ/EUREJLHkADsZYSNWXl7u rFy50unr67PdVo+a7u5uZ+3atc7SpUudrKysaDT8/cA/oiXaIiJiSQWwl1Fo1KZOneqsXr3aGRwc tN1+e9LW1uY8++yzzpIlS5wxY8ZEo9E/de0FLrP9By8iIjIZaGaUGriqqirnkUcecVpaWmy36cMa GBhwtm/f7ixbtsy5+OKLnaSkpGg2+qeuZ3B7XkREROLCDOAwo9jYpaamOl//+teddevWOV1dXbbb e+fkyZPOpk2bnBUrVjjXXnutk5+fH4sG/8yJfqNzxKKIIY0ziUg4c4Df4x4eNKoyMjKYN28eCxYs 4KqrrmLmzJmEonj87uDgIHV1ddTU1PDOO++wefNmtm3bRnd3d/R+emfXBfwI+Anu0kuRmFMAEJFI TAdeBCZG8yWFhYXMnj2bmTNnUl1dzaRJkzjnnHMYP348GRkZET3jxIkTtLa20traSkNDA/X19dTV 1VFbW8vOnTs5ceKEzZ/jIPAc8ADuxksi1igAiEikJuAuT7vQxstzcnIoLCwkOzubzMzM0/+9v7+f jo4O+vr6aGtro6enx/bP6bO8Avw98I7tDyIiImIqB3iW2I2R+/0aAH4DzLX9ByciIjIabgVOYL+B jderB/gvYJrtPygREZHRNhXYiv3GNp6uOuA+oMj2H46IiEg0JeGeUX8E+42vrasdeBK4cujnISIi kjCKcBvBfuw3yLG4juPOhbgWSLP9wxcREbHtPNyx717sN9KjfR3GXcK3GPjLMgQRERE5rRJ4HOjE fsPt9ToJvAb8E/AF1L0vIiISsVzcFQMbcZfE2W7Uh7v6gO3Az3C79rU3vwSaNgISkViZCNwELMRd F59u+fN8BLwJbAb+CGzD7bEQSQgKACJiQyZuCLh86JoFZEfxfW1AzSeu3bhb84okJAUAEYkX5biT CKuG/vc8oBgoAAqBDCDrjPv7gQ7cRrwNd5LeYeAQsB+oP+Nqt105ERERERERERERERERERERERER ERERERERERERERERERERERERERERERERERFJKP8PYkYH/DIvWIEAAAAASUVORK5CYII= "
+ id="image13044"
+ x="74.692276"
+ y="130.02034"
+ style="stroke-width:3.97671" /><text
+ xml:space="preserve"
+ style="font-size:12.7px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;stroke-width:0.264583"
+ x="73.229004"
+ y="183.68733"
+ id="text4115-3-5"><tspan
+ sodipodi:role="line"
+ style="font-size:12.7px;stroke-width:0.264583"
+ x="73.229004"
+ y="183.68733"
+ id="tspan4117-6-6">Database</tspan></text><rect
+ style="fill:#ffffff;fill-opacity:0.733011;stroke:none;stroke-width:1.265;stroke-miterlimit:4;stroke-dasharray:none;stroke-opacity:1"
+ id="rect13086"
+ width="130.82137"
+ height="113.0019"
+ x="37.281914"
+ y="74.605423" /></g><g
+ inkscape:groupmode="layer"
+ id="layer3"
+ inkscape:label="Layer 3"
+ style="display:none;fill:#ffffff"
+ sodipodi:insensitive="true"><rect
+ style="display:inline;fill:#ffffff;fill-opacity:1;stroke-width:1.2745"
+ id="rect2004"
+ width="172.00893"
+ height="19.827272"
+ x="80.964157"
+ y="52.231174" /><rect
+ style="fill:#ffffff;fill-opacity:0.703087;stroke-width:1.2745"
+ id="rect2006"
+ width="185.24678"
+ height="32.308674"
+ x="77.66877"
+ y="16.311932" /><text
+ xml:space="preserve"
+ style="font-size:12.7px;line-height:1.25;font-family:sans-serif;text-align:center;text-anchor:middle;fill:#000000;fill-opacity:1;stroke-width:0.264583"
+ x="169.47803"
+ y="66.103424"
+ id="text4115-0"><tspan
+ sodipodi:role="line"
+ style="font-size:12.7px;fill:#000000;fill-opacity:1;stroke-width:0.264583"
+ x="169.47803"
+ y="66.103424"
+ id="tspan4117-4"><tspan
+ style="font-style:normal;font-weight:bold;fill:#000000;fill-opacity:1"
+ id="tspan2744">Custom</tspan> user-facing application</tspan></text><path
+ style="fill:none;fill-rule:evenodd;stroke:#ff0000;stroke-width:1.05833;stroke-linecap:butt;stroke-linejoin:miter;stroke-dasharray:none;stroke-opacity:1"
+ d="M 81.62001,34.797981 H 251.8384"
+ id="path2876" /></g></svg>
diff --git a/script/helm/garage/Chart.yaml b/script/helm/garage/Chart.yaml
index 31b75c1f..71906cfb 100644
--- a/script/helm/garage/Chart.yaml
+++ b/script/helm/garage/Chart.yaml
@@ -21,4 +21,4 @@ version: 0.4.1
# incremented each time you make changes to the application. Versions are not expected to
# follow Semantic Versioning. They should reflect the version the application is using.
# It is recommended to use it with quotes.
-appVersion: "v0.9.1"
+appVersion: "v0.9.3"
diff --git a/script/test-smoke.sh b/script/test-smoke.sh
index 6965c0f3..9f9ea50c 100755
--- a/script/test-smoke.sh
+++ b/script/test-smoke.sh
@@ -81,11 +81,9 @@ if [ -z "$SKIP_AWS" ]; then
echo "Invalid multipart upload"
exit 1
fi
+ aws s3api delete-object --bucket eprouvette --key upload
fi
-echo "OK!!"
-exit 0
-
# S3CMD
if [ -z "$SKIP_S3CMD" ]; then
echo "🛠️ Testing with s3cmd"
diff --git a/src/api/Cargo.toml b/src/api/Cargo.toml
index 3b555b8b..9b215333 100644
--- a/src/api/Cargo.toml
+++ b/src/api/Cargo.toml
@@ -21,6 +21,7 @@ garage_net.workspace = true
garage_util.workspace = true
garage_rpc.workspace = true
+argon2.workspace = true
async-trait.workspace = true
base64.workspace = true
bytes.workspace = true
diff --git a/src/api/admin/api_server.rs b/src/api/admin/api_server.rs
index 2b9be24e..0e4565bb 100644
--- a/src/api/admin/api_server.rs
+++ b/src/api/admin/api_server.rs
@@ -1,6 +1,7 @@
use std::collections::HashMap;
use std::sync::Arc;
+use argon2::password_hash::PasswordHash;
use async_trait::async_trait;
use http::header::{ACCESS_CONTROL_ALLOW_METHODS, ACCESS_CONTROL_ALLOW_ORIGIN, ALLOW};
@@ -45,14 +46,8 @@ impl AdminApiServer {
#[cfg(feature = "metrics")] exporter: PrometheusExporter,
) -> Self {
let cfg = &garage.config.admin;
- let metrics_token = cfg
- .metrics_token
- .as_ref()
- .map(|tok| format!("Bearer {}", tok));
- let admin_token = cfg
- .admin_token
- .as_ref()
- .map(|tok| format!("Bearer {}", tok));
+ let metrics_token = cfg.metrics_token.as_deref().map(hash_bearer_token);
+ let admin_token = cfg.admin_token.as_deref().map(hash_bearer_token);
Self {
garage,
#[cfg(feature = "metrics")]
@@ -248,11 +243,11 @@ impl ApiHandler for AdminApiServer {
req: Request<IncomingBody>,
endpoint: Endpoint,
) -> Result<Response<ResBody>, Error> {
- let expected_auth_header =
+ let required_auth_hash =
match endpoint.authorization_type() {
Authorization::None => None,
- Authorization::MetricsToken => self.metrics_token.as_ref(),
- Authorization::AdminToken => match &self.admin_token {
+ Authorization::MetricsToken => self.metrics_token.as_deref(),
+ Authorization::AdminToken => match self.admin_token.as_deref() {
None => return Err(Error::forbidden(
"Admin token isn't configured, admin API access is disabled for security.",
)),
@@ -260,14 +255,11 @@ impl ApiHandler for AdminApiServer {
},
};
- if let Some(h) = expected_auth_header {
+ if let Some(password_hash) = required_auth_hash {
match req.headers().get("Authorization") {
None => return Err(Error::forbidden("Authorization token must be provided")),
- Some(v) => {
- let authorized = v.to_str().map(|hv| hv.trim() == h).unwrap_or(false);
- if !authorized {
- return Err(Error::forbidden("Invalid authorization token provided"));
- }
+ Some(authorization) => {
+ verify_bearer_token(&authorization, password_hash)?;
}
}
}
@@ -342,3 +334,35 @@ impl ApiEndpoint for Endpoint {
fn add_span_attributes(&self, _span: SpanRef<'_>) {}
}
+
+fn hash_bearer_token(token: &str) -> String {
+ use argon2::{
+ password_hash::{rand_core::OsRng, PasswordHasher, SaltString},
+ Argon2,
+ };
+
+ let salt = SaltString::generate(&mut OsRng);
+ let argon2 = Argon2::default();
+ argon2
+ .hash_password(token.trim().as_bytes(), &salt)
+ .expect("could not hash API token")
+ .to_string()
+}
+
+fn verify_bearer_token(token: &hyper::http::HeaderValue, password_hash: &str) -> Result<(), Error> {
+ use argon2::{password_hash::PasswordVerifier, Argon2};
+
+ let parsed_hash = PasswordHash::new(&password_hash).unwrap();
+
+ token
+ .to_str()?
+ .strip_prefix("Bearer ")
+ .and_then(|token| {
+ Argon2::default()
+ .verify_password(token.trim().as_bytes(), &parsed_hash)
+ .ok()
+ })
+ .ok_or_else(|| Error::forbidden("Invalid authorization token"))?;
+
+ Ok(())
+}
diff --git a/src/api/helpers.rs b/src/api/helpers.rs
index 5f488912..cf60005d 100644
--- a/src/api/helpers.rs
+++ b/src/api/helpers.rs
@@ -1,4 +1,5 @@
use std::convert::Infallible;
+use std::sync::Arc;
use futures::{Stream, StreamExt, TryStreamExt};
@@ -10,6 +11,10 @@ use hyper::{
use idna::domain_to_unicode;
use serde::{Deserialize, Serialize};
+use garage_model::bucket_table::BucketParams;
+use garage_model::garage::Garage;
+use garage_model::key_table::Key;
+use garage_util::data::Uuid;
use garage_util::error::Error as GarageError;
use crate::common_error::{CommonError as Error, *};
@@ -27,6 +32,15 @@ pub enum Authorization {
Owner,
}
+/// The values which are known for each request related to a bucket
+pub struct ReqCtx {
+ pub garage: Arc<Garage>,
+ pub bucket_id: Uuid,
+ pub bucket_name: String,
+ pub bucket_params: BucketParams,
+ pub api_key: Key,
+}
+
/// Host to bucket
///
/// Convert a host, like "bucket.garage-site.tld" to the corresponding bucket "bucket",
diff --git a/src/api/k2v/api_server.rs b/src/api/k2v/api_server.rs
index e97da2af..658cfcc8 100644
--- a/src/api/k2v/api_server.rs
+++ b/src/api/k2v/api_server.rs
@@ -15,8 +15,7 @@ use garage_model::garage::Garage;
use crate::generic_server::*;
use crate::k2v::error::*;
-use crate::signature::payload::check_payload_signature;
-use crate::signature::streaming::*;
+use crate::signature::verify_request;
use crate::helpers::*;
use crate::k2v::batch::*;
@@ -86,17 +85,7 @@ impl ApiHandler for K2VApiServer {
return Ok(options_res.map(|_empty_body: EmptyBody| empty_body()));
}
- let (api_key, mut content_sha256) = check_payload_signature(&garage, "k2v", &req).await?;
- let api_key = api_key
- .ok_or_else(|| Error::forbidden("Garage does not support anonymous access yet"))?;
-
- let req = parse_streaming_body(
- &api_key,
- req,
- &mut content_sha256,
- &garage.config.s3_api.s3_region,
- "k2v",
- )?;
+ let (req, api_key, _content_sha256) = verify_request(&garage, req, "k2v").await?;
let bucket_id = garage
.bucket_helper()
@@ -106,6 +95,7 @@ impl ApiHandler for K2VApiServer {
.bucket_helper()
.get_existing_bucket(bucket_id)
.await?;
+ let bucket_params = bucket.state.into_option().unwrap();
let allowed = match endpoint.authorization_type() {
Authorization::Read => api_key.allow_read(&bucket_id),
@@ -123,40 +113,42 @@ impl ApiHandler for K2VApiServer {
// are always preflighted, i.e. the browser should make
// an OPTIONS call before to check it is allowed
let matching_cors_rule = match *req.method() {
- Method::GET | Method::HEAD | Method::POST => find_matching_cors_rule(&bucket, &req)
- .ok_or_internal_error("Error looking up CORS rule")?,
+ Method::GET | Method::HEAD | Method::POST => {
+ find_matching_cors_rule(&bucket_params, &req)
+ .ok_or_internal_error("Error looking up CORS rule")?
+ .cloned()
+ }
_ => None,
};
+ let ctx = ReqCtx {
+ garage,
+ bucket_id,
+ bucket_name,
+ bucket_params,
+ api_key,
+ };
+
let resp = match endpoint {
Endpoint::DeleteItem {
partition_key,
sort_key,
- } => handle_delete_item(garage, req, bucket_id, &partition_key, &sort_key).await,
+ } => handle_delete_item(ctx, req, &partition_key, &sort_key).await,
Endpoint::InsertItem {
partition_key,
sort_key,
- } => handle_insert_item(garage, req, bucket_id, &partition_key, &sort_key).await,
+ } => handle_insert_item(ctx, req, &partition_key, &sort_key).await,
Endpoint::ReadItem {
partition_key,
sort_key,
- } => handle_read_item(garage, &req, bucket_id, &partition_key, &sort_key).await,
+ } => handle_read_item(ctx, &req, &partition_key, &sort_key).await,
Endpoint::PollItem {
partition_key,
sort_key,
causality_token,
timeout,
} => {
- handle_poll_item(
- garage,
- &req,
- bucket_id,
- partition_key,
- sort_key,
- causality_token,
- timeout,
- )
- .await
+ handle_poll_item(ctx, &req, partition_key, sort_key, causality_token, timeout).await
}
Endpoint::ReadIndex {
prefix,
@@ -164,12 +156,12 @@ impl ApiHandler for K2VApiServer {
end,
limit,
reverse,
- } => handle_read_index(garage, bucket_id, prefix, start, end, limit, reverse).await,
- Endpoint::InsertBatch {} => handle_insert_batch(garage, bucket_id, req).await,
- Endpoint::ReadBatch {} => handle_read_batch(garage, bucket_id, req).await,
- Endpoint::DeleteBatch {} => handle_delete_batch(garage, bucket_id, req).await,
+ } => handle_read_index(ctx, prefix, start, end, limit, reverse).await,
+ Endpoint::InsertBatch {} => handle_insert_batch(ctx, req).await,
+ Endpoint::ReadBatch {} => handle_read_batch(ctx, req).await,
+ Endpoint::DeleteBatch {} => handle_delete_batch(ctx, req).await,
Endpoint::PollRange { partition_key } => {
- handle_poll_range(garage, bucket_id, &partition_key, req).await
+ handle_poll_range(ctx, &partition_key, req).await
}
Endpoint::Options => unreachable!(),
};
@@ -178,7 +170,7 @@ impl ApiHandler for K2VApiServer {
// add the corresponding CORS headers to the response
let mut resp_ok = resp?;
if let Some(rule) = matching_cors_rule {
- add_cors_headers(&mut resp_ok, rule)
+ add_cors_headers(&mut resp_ok, &rule)
.ok_or_internal_error("Invalid bucket CORS configuration")?;
}
diff --git a/src/api/k2v/batch.rs b/src/api/k2v/batch.rs
index ae2778b1..02b7ae8b 100644
--- a/src/api/k2v/batch.rs
+++ b/src/api/k2v/batch.rs
@@ -1,14 +1,9 @@
-use std::sync::Arc;
-
use base64::prelude::*;
use hyper::{Request, Response, StatusCode};
use serde::{Deserialize, Serialize};
-use garage_util::data::*;
-
use garage_table::{EnumerationOrder, TableSchema};
-use garage_model::garage::Garage;
use garage_model::k2v::causality::*;
use garage_model::k2v::item_table::*;
@@ -18,10 +13,12 @@ use crate::k2v::error::*;
use crate::k2v::range::read_range;
pub async fn handle_insert_batch(
- garage: Arc<Garage>,
- bucket_id: Uuid,
+ ctx: ReqCtx,
req: Request<ReqBody>,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = &ctx;
let items = parse_json_body::<Vec<InsertBatchItem>, _, Error>(req).await?;
let mut items2 = vec![];
@@ -38,7 +35,7 @@ pub async fn handle_insert_batch(
items2.push((it.pk, it.sk, ct, v));
}
- garage.k2v.rpc.insert_batch(bucket_id, items2).await?;
+ garage.k2v.rpc.insert_batch(*bucket_id, items2).await?;
Ok(Response::builder()
.status(StatusCode::NO_CONTENT)
@@ -46,8 +43,7 @@ pub async fn handle_insert_batch(
}
pub async fn handle_read_batch(
- garage: Arc<Garage>,
- bucket_id: Uuid,
+ ctx: ReqCtx,
req: Request<ReqBody>,
) -> Result<Response<ResBody>, Error> {
let queries = parse_json_body::<Vec<ReadBatchQuery>, _, Error>(req).await?;
@@ -55,7 +51,7 @@ pub async fn handle_read_batch(
let resp_results = futures::future::join_all(
queries
.into_iter()
- .map(|q| handle_read_batch_query(&garage, bucket_id, q)),
+ .map(|q| handle_read_batch_query(&ctx, q)),
)
.await;
@@ -68,12 +64,15 @@ pub async fn handle_read_batch(
}
async fn handle_read_batch_query(
- garage: &Arc<Garage>,
- bucket_id: Uuid,
+ ctx: &ReqCtx,
query: ReadBatchQuery,
) -> Result<ReadBatchResponse, Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = ctx;
+
let partition = K2VItemPartition {
- bucket_id,
+ bucket_id: *bucket_id,
partition_key: query.partition_key.clone(),
};
@@ -138,8 +137,7 @@ async fn handle_read_batch_query(
}
pub async fn handle_delete_batch(
- garage: Arc<Garage>,
- bucket_id: Uuid,
+ ctx: ReqCtx,
req: Request<ReqBody>,
) -> Result<Response<ResBody>, Error> {
let queries = parse_json_body::<Vec<DeleteBatchQuery>, _, Error>(req).await?;
@@ -147,7 +145,7 @@ pub async fn handle_delete_batch(
let resp_results = futures::future::join_all(
queries
.into_iter()
- .map(|q| handle_delete_batch_query(&garage, bucket_id, q)),
+ .map(|q| handle_delete_batch_query(&ctx, q)),
)
.await;
@@ -160,12 +158,15 @@ pub async fn handle_delete_batch(
}
async fn handle_delete_batch_query(
- garage: &Arc<Garage>,
- bucket_id: Uuid,
+ ctx: &ReqCtx,
query: DeleteBatchQuery,
) -> Result<DeleteBatchResponse, Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = &ctx;
+
let partition = K2VItemPartition {
- bucket_id,
+ bucket_id: *bucket_id,
partition_key: query.partition_key.clone(),
};
@@ -195,7 +196,7 @@ async fn handle_delete_batch_query(
.k2v
.rpc
.insert(
- bucket_id,
+ *bucket_id,
i.partition.partition_key,
i.sort_key,
Some(cc),
@@ -235,7 +236,7 @@ async fn handle_delete_batch_query(
.collect::<Vec<_>>();
let n = items.len();
- garage.k2v.rpc.insert_batch(bucket_id, items).await?;
+ garage.k2v.rpc.insert_batch(*bucket_id, items).await?;
n
};
@@ -251,11 +252,13 @@ async fn handle_delete_batch_query(
}
pub(crate) async fn handle_poll_range(
- garage: Arc<Garage>,
- bucket_id: Uuid,
+ ctx: ReqCtx,
partition_key: &str,
req: Request<ReqBody>,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = ctx;
use garage_model::k2v::sub::PollRange;
let query = parse_json_body::<PollRangeQuery, _, Error>(req).await?;
diff --git a/src/api/k2v/index.rs b/src/api/k2v/index.rs
index 291464ab..e3397238 100644
--- a/src/api/k2v/index.rs
+++ b/src/api/k2v/index.rs
@@ -1,13 +1,8 @@
-use std::sync::Arc;
-
use hyper::Response;
use serde::Serialize;
-use garage_util::data::*;
-
use garage_table::util::*;
-use garage_model::garage::Garage;
use garage_model::k2v::item_table::{BYTES, CONFLICTS, ENTRIES, VALUES};
use crate::helpers::*;
@@ -16,14 +11,17 @@ use crate::k2v::error::*;
use crate::k2v::range::read_range;
pub async fn handle_read_index(
- garage: Arc<Garage>,
- bucket_id: Uuid,
+ ctx: ReqCtx,
prefix: Option<String>,
start: Option<String>,
end: Option<String>,
limit: Option<u64>,
reverse: Option<bool>,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = &ctx;
+
let reverse = reverse.unwrap_or(false);
let node_id_vec = garage
diff --git a/src/api/k2v/item.rs b/src/api/k2v/item.rs
index 0c5931a1..af3af4e4 100644
--- a/src/api/k2v/item.rs
+++ b/src/api/k2v/item.rs
@@ -1,13 +1,8 @@
-use std::sync::Arc;
-
use base64::prelude::*;
use http::header;
use hyper::{Request, Response, StatusCode};
-use garage_util::data::*;
-
-use garage_model::garage::Garage;
use garage_model::k2v::causality::*;
use garage_model::k2v::item_table::*;
@@ -100,12 +95,15 @@ impl ReturnFormat {
/// Handle ReadItem request
#[allow(clippy::ptr_arg)]
pub async fn handle_read_item(
- garage: Arc<Garage>,
+ ctx: ReqCtx,
req: &Request<ReqBody>,
- bucket_id: Uuid,
partition_key: &str,
sort_key: &String,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = &ctx;
+
let format = ReturnFormat::from(req)?;
let item = garage
@@ -113,7 +111,7 @@ pub async fn handle_read_item(
.item_table
.get(
&K2VItemPartition {
- bucket_id,
+ bucket_id: *bucket_id,
partition_key: partition_key.to_string(),
},
sort_key,
@@ -125,12 +123,14 @@ pub async fn handle_read_item(
}
pub async fn handle_insert_item(
- garage: Arc<Garage>,
+ ctx: ReqCtx,
req: Request<ReqBody>,
- bucket_id: Uuid,
partition_key: &str,
sort_key: &str,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = &ctx;
let causal_context = req
.headers()
.get(X_GARAGE_CAUSALITY_TOKEN)
@@ -149,7 +149,7 @@ pub async fn handle_insert_item(
.k2v
.rpc
.insert(
- bucket_id,
+ *bucket_id,
partition_key.to_string(),
sort_key.to_string(),
causal_context,
@@ -163,12 +163,14 @@ pub async fn handle_insert_item(
}
pub async fn handle_delete_item(
- garage: Arc<Garage>,
+ ctx: ReqCtx,
req: Request<ReqBody>,
- bucket_id: Uuid,
partition_key: &str,
sort_key: &str,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = &ctx;
let causal_context = req
.headers()
.get(X_GARAGE_CAUSALITY_TOKEN)
@@ -183,7 +185,7 @@ pub async fn handle_delete_item(
.k2v
.rpc
.insert(
- bucket_id,
+ *bucket_id,
partition_key.to_string(),
sort_key.to_string(),
causal_context,
@@ -199,14 +201,16 @@ pub async fn handle_delete_item(
/// Handle ReadItem request
#[allow(clippy::ptr_arg)]
pub async fn handle_poll_item(
- garage: Arc<Garage>,
+ ctx: ReqCtx,
req: &Request<ReqBody>,
- bucket_id: Uuid,
partition_key: String,
sort_key: String,
causality_token: String,
timeout_secs: Option<u64>,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = &ctx;
let format = ReturnFormat::from(req)?;
let causal_context =
@@ -218,7 +222,7 @@ pub async fn handle_poll_item(
.k2v
.rpc
.poll_item(
- bucket_id,
+ *bucket_id,
partition_key,
sort_key,
causal_context,
diff --git a/src/api/s3/api_server.rs b/src/api/s3/api_server.rs
index 08405923..1ed30996 100644
--- a/src/api/s3/api_server.rs
+++ b/src/api/s3/api_server.rs
@@ -17,8 +17,7 @@ use garage_model::key_table::Key;
use crate::generic_server::*;
use crate::s3::error::*;
-use crate::signature::payload::check_payload_signature;
-use crate::signature::streaming::*;
+use crate::signature::verify_request;
use crate::helpers::*;
use crate::s3::bucket::*;
@@ -125,17 +124,7 @@ impl ApiHandler for S3ApiServer {
return Ok(options_res.map(|_empty_body: EmptyBody| empty_body()));
}
- let (api_key, mut content_sha256) = check_payload_signature(&garage, "s3", &req).await?;
- let api_key = api_key
- .ok_or_else(|| Error::forbidden("Garage does not support anonymous access yet"))?;
-
- let req = parse_streaming_body(
- &api_key,
- req,
- &mut content_sha256,
- &garage.config.s3_api.s3_region,
- "s3",
- )?;
+ let (req, api_key, content_sha256) = verify_request(&garage, req, "s3").await?;
let bucket_name = match bucket_name {
None => {
@@ -166,6 +155,7 @@ impl ApiHandler for S3ApiServer {
.bucket_helper()
.get_existing_bucket(bucket_id)
.await?;
+ let bucket_params = bucket.state.into_option().unwrap();
let allowed = match endpoint.authorization_type() {
Authorization::Read => api_key.allow_read(&bucket_id),
@@ -178,12 +168,20 @@ impl ApiHandler for S3ApiServer {
return Err(Error::forbidden("Operation is not allowed for this key."));
}
- let matching_cors_rule = find_matching_cors_rule(&bucket, &req)?;
+ let matching_cors_rule = find_matching_cors_rule(&bucket_params, &req)?.cloned();
+
+ let ctx = ReqCtx {
+ garage,
+ bucket_id,
+ bucket_name,
+ bucket_params,
+ api_key,
+ };
let resp = match endpoint {
Endpoint::HeadObject {
key, part_number, ..
- } => handle_head(garage, &req, bucket_id, &key, part_number).await,
+ } => handle_head(ctx, &req, &key, part_number).await,
Endpoint::GetObject {
key,
part_number,
@@ -203,74 +201,37 @@ impl ApiHandler for S3ApiServer {
response_content_type,
response_expires,
};
- handle_get(garage, &req, bucket_id, &key, part_number, overrides).await
+ handle_get(ctx, &req, &key, part_number, overrides).await
}
Endpoint::UploadPart {
key,
part_number,
upload_id,
- } => {
- handle_put_part(
- garage,
- req,
- bucket_id,
- &key,
- part_number,
- &upload_id,
- content_sha256,
- )
- .await
- }
- Endpoint::CopyObject { key } => {
- handle_copy(garage, &api_key, &req, bucket_id, &key).await
- }
+ } => handle_put_part(ctx, req, &key, part_number, &upload_id, content_sha256).await,
+ Endpoint::CopyObject { key } => handle_copy(ctx, &req, &key).await,
Endpoint::UploadPartCopy {
key,
part_number,
upload_id,
- } => {
- handle_upload_part_copy(
- garage,
- &api_key,
- &req,
- bucket_id,
- &key,
- part_number,
- &upload_id,
- )
- .await
- }
- Endpoint::PutObject { key } => {
- handle_put(garage, req, &bucket, &key, content_sha256).await
- }
+ } => handle_upload_part_copy(ctx, &req, &key, part_number, &upload_id).await,
+ Endpoint::PutObject { key } => handle_put(ctx, req, &key, content_sha256).await,
Endpoint::AbortMultipartUpload { key, upload_id } => {
- handle_abort_multipart_upload(garage, bucket_id, &key, &upload_id).await
+ handle_abort_multipart_upload(ctx, &key, &upload_id).await
}
- Endpoint::DeleteObject { key, .. } => handle_delete(garage, bucket_id, &key).await,
+ Endpoint::DeleteObject { key, .. } => handle_delete(ctx, &key).await,
Endpoint::CreateMultipartUpload { key } => {
- handle_create_multipart_upload(garage, &req, &bucket_name, bucket_id, &key).await
+ handle_create_multipart_upload(ctx, &req, &key).await
}
Endpoint::CompleteMultipartUpload { key, upload_id } => {
- handle_complete_multipart_upload(
- garage,
- req,
- &bucket_name,
- &bucket,
- &key,
- &upload_id,
- content_sha256,
- )
- .await
+ handle_complete_multipart_upload(ctx, req, &key, &upload_id, content_sha256).await
}
Endpoint::CreateBucket {} => unreachable!(),
Endpoint::HeadBucket {} => {
let response = Response::builder().body(empty_body()).unwrap();
Ok(response)
}
- Endpoint::DeleteBucket {} => {
- handle_delete_bucket(&garage, bucket_id, bucket_name, &api_key.key_id).await
- }
- Endpoint::GetBucketLocation {} => handle_get_bucket_location(garage),
+ Endpoint::DeleteBucket {} => handle_delete_bucket(ctx).await,
+ Endpoint::GetBucketLocation {} => handle_get_bucket_location(ctx),
Endpoint::GetBucketVersioning {} => handle_get_bucket_versioning(),
Endpoint::ListObjects {
delimiter,
@@ -279,24 +240,21 @@ impl ApiHandler for S3ApiServer {
max_keys,
prefix,
} => {
- handle_list(
- garage,
- &ListObjectsQuery {
- common: ListQueryCommon {
- bucket_name,
- bucket_id,
- delimiter,
- page_size: max_keys.unwrap_or(1000).clamp(1, 1000),
- prefix: prefix.unwrap_or_default(),
- urlencode_resp: encoding_type.map(|e| e == "url").unwrap_or(false),
- },
- is_v2: false,
- marker,
- continuation_token: None,
- start_after: None,
+ let query = ListObjectsQuery {
+ common: ListQueryCommon {
+ bucket_name: ctx.bucket_name.clone(),
+ bucket_id,
+ delimiter,
+ page_size: max_keys.unwrap_or(1000).clamp(1, 1000),
+ prefix: prefix.unwrap_or_default(),
+ urlencode_resp: encoding_type.map(|e| e == "url").unwrap_or(false),
},
- )
- .await
+ is_v2: false,
+ marker,
+ continuation_token: None,
+ start_after: None,
+ };
+ handle_list(ctx, &query).await
}
Endpoint::ListObjectsV2 {
delimiter,
@@ -309,24 +267,21 @@ impl ApiHandler for S3ApiServer {
..
} => {
if list_type == "2" {
- handle_list(
- garage,
- &ListObjectsQuery {
- common: ListQueryCommon {
- bucket_name,
- bucket_id,
- delimiter,
- page_size: max_keys.unwrap_or(1000).clamp(1, 1000),
- urlencode_resp: encoding_type.map(|e| e == "url").unwrap_or(false),
- prefix: prefix.unwrap_or_default(),
- },
- is_v2: true,
- marker: None,
- continuation_token,
- start_after,
+ let query = ListObjectsQuery {
+ common: ListQueryCommon {
+ bucket_name: ctx.bucket_name.clone(),
+ bucket_id,
+ delimiter,
+ page_size: max_keys.unwrap_or(1000).clamp(1, 1000),
+ urlencode_resp: encoding_type.map(|e| e == "url").unwrap_or(false),
+ prefix: prefix.unwrap_or_default(),
},
- )
- .await
+ is_v2: true,
+ marker: None,
+ continuation_token,
+ start_after,
+ };
+ handle_list(ctx, &query).await
} else {
Err(Error::bad_request(format!(
"Invalid endpoint: list-type={}",
@@ -342,22 +297,19 @@ impl ApiHandler for S3ApiServer {
prefix,
upload_id_marker,
} => {
- handle_list_multipart_upload(
- garage,
- &ListMultipartUploadsQuery {
- common: ListQueryCommon {
- bucket_name,
- bucket_id,
- delimiter,
- page_size: max_uploads.unwrap_or(1000).clamp(1, 1000),
- prefix: prefix.unwrap_or_default(),
- urlencode_resp: encoding_type.map(|e| e == "url").unwrap_or(false),
- },
- key_marker,
- upload_id_marker,
+ let query = ListMultipartUploadsQuery {
+ common: ListQueryCommon {
+ bucket_name: ctx.bucket_name.clone(),
+ bucket_id,
+ delimiter,
+ page_size: max_uploads.unwrap_or(1000).clamp(1, 1000),
+ prefix: prefix.unwrap_or_default(),
+ urlencode_resp: encoding_type.map(|e| e == "url").unwrap_or(false),
},
- )
- .await
+ key_marker,
+ upload_id_marker,
+ };
+ handle_list_multipart_upload(ctx, &query).await
}
Endpoint::ListParts {
key,
@@ -365,39 +317,28 @@ impl ApiHandler for S3ApiServer {
part_number_marker,
upload_id,
} => {
- handle_list_parts(
- garage,
- &ListPartsQuery {
- bucket_name,
- bucket_id,
- key,
- upload_id,
- part_number_marker: part_number_marker.map(|p| p.min(10000)),
- max_parts: max_parts.unwrap_or(1000).clamp(1, 1000),
- },
- )
- .await
- }
- Endpoint::DeleteObjects {} => {
- handle_delete_objects(garage, bucket_id, req, content_sha256).await
- }
- Endpoint::GetBucketWebsite {} => handle_get_website(&bucket).await,
- Endpoint::PutBucketWebsite {} => {
- handle_put_website(garage, bucket.clone(), req, content_sha256).await
- }
- Endpoint::DeleteBucketWebsite {} => handle_delete_website(garage, bucket.clone()).await,
- Endpoint::GetBucketCors {} => handle_get_cors(&bucket).await,
- Endpoint::PutBucketCors {} => {
- handle_put_cors(garage, bucket.clone(), req, content_sha256).await
+ let query = ListPartsQuery {
+ bucket_name: ctx.bucket_name.clone(),
+ bucket_id,
+ key,
+ upload_id,
+ part_number_marker: part_number_marker.map(|p| p.min(10000)),
+ max_parts: max_parts.unwrap_or(1000).clamp(1, 1000),
+ };
+ handle_list_parts(ctx, &query).await
}
- Endpoint::DeleteBucketCors {} => handle_delete_cors(garage, bucket.clone()).await,
- Endpoint::GetBucketLifecycleConfiguration {} => handle_get_lifecycle(&bucket).await,
+ Endpoint::DeleteObjects {} => handle_delete_objects(ctx, req, content_sha256).await,
+ Endpoint::GetBucketWebsite {} => handle_get_website(ctx).await,
+ Endpoint::PutBucketWebsite {} => handle_put_website(ctx, req, content_sha256).await,
+ Endpoint::DeleteBucketWebsite {} => handle_delete_website(ctx).await,
+ Endpoint::GetBucketCors {} => handle_get_cors(ctx).await,
+ Endpoint::PutBucketCors {} => handle_put_cors(ctx, req, content_sha256).await,
+ Endpoint::DeleteBucketCors {} => handle_delete_cors(ctx).await,
+ Endpoint::GetBucketLifecycleConfiguration {} => handle_get_lifecycle(ctx).await,
Endpoint::PutBucketLifecycleConfiguration {} => {
- handle_put_lifecycle(garage, bucket.clone(), req, content_sha256).await
- }
- Endpoint::DeleteBucketLifecycle {} => {
- handle_delete_lifecycle(garage, bucket.clone()).await
+ handle_put_lifecycle(ctx, req, content_sha256).await
}
+ Endpoint::DeleteBucketLifecycle {} => handle_delete_lifecycle(ctx).await,
endpoint => Err(Error::NotImplemented(endpoint.name().to_owned())),
};
@@ -405,7 +346,7 @@ impl ApiHandler for S3ApiServer {
// add the corresponding CORS headers to the response
let mut resp_ok = resp?;
if let Some(rule) = matching_cors_rule {
- add_cors_headers(&mut resp_ok, rule)
+ add_cors_headers(&mut resp_ok, &rule)
.ok_or_internal_error("Invalid bucket CORS configuration")?;
}
diff --git a/src/api/s3/bucket.rs b/src/api/s3/bucket.rs
index fa337566..6a12aa9c 100644
--- a/src/api/s3/bucket.rs
+++ b/src/api/s3/bucket.rs
@@ -1,5 +1,4 @@
use std::collections::HashMap;
-use std::sync::Arc;
use http_body_util::BodyExt;
use hyper::{Request, Response, StatusCode};
@@ -21,7 +20,8 @@ use crate::s3::error::*;
use crate::s3::xml as s3_xml;
use crate::signature::verify_signed_content;
-pub fn handle_get_bucket_location(garage: Arc<Garage>) -> Result<Response<ResBody>, Error> {
+pub fn handle_get_bucket_location(ctx: ReqCtx) -> Result<Response<ResBody>, Error> {
+ let ReqCtx { garage, .. } = ctx;
let loc = s3_xml::LocationConstraint {
xmlns: (),
region: garage.config.s3_api.s3_region.to_string(),
@@ -204,21 +204,20 @@ pub async fn handle_create_bucket(
.unwrap())
}
-pub async fn handle_delete_bucket(
- garage: &Garage,
- bucket_id: Uuid,
- bucket_name: String,
- api_key_id: &String,
-) -> Result<Response<ResBody>, Error> {
+pub async fn handle_delete_bucket(ctx: ReqCtx) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage,
+ bucket_id,
+ bucket_name,
+ bucket_params: bucket_state,
+ api_key,
+ ..
+ } = &ctx;
let helper = garage.locked_helper().await;
- let api_key = helper.key().get_existing_key(api_key_id).await?;
let key_params = api_key.params().unwrap();
- let is_local_alias = matches!(key_params.local_aliases.get(&bucket_name), Some(Some(_)));
-
- let mut bucket = helper.bucket().get_existing_bucket(bucket_id).await?;
- let bucket_state = bucket.state.as_option().unwrap();
+ let is_local_alias = matches!(key_params.local_aliases.get(bucket_name), Some(Some(_)));
// If the bucket has no other aliases, this is a true deletion.
// Otherwise, it is just an alias removal.
@@ -228,20 +227,20 @@ pub async fn handle_delete_bucket(
.items()
.iter()
.filter(|(_, _, active)| *active)
- .any(|(n, _, _)| is_local_alias || (*n != bucket_name));
+ .any(|(n, _, _)| is_local_alias || (*n != *bucket_name));
let has_other_local_aliases = bucket_state
.local_aliases
.items()
.iter()
.filter(|(_, _, active)| *active)
- .any(|((k, n), _, _)| !is_local_alias || *n != bucket_name || *k != api_key.key_id);
+ .any(|((k, n), _, _)| !is_local_alias || *n != *bucket_name || *k != api_key.key_id);
if !has_other_global_aliases && !has_other_local_aliases {
// Delete bucket
// Check bucket is empty
- if !helper.bucket().is_bucket_empty(bucket_id).await? {
+ if !helper.bucket().is_bucket_empty(*bucket_id).await? {
return Err(CommonError::BucketNotEmpty.into());
}
@@ -249,33 +248,36 @@ pub async fn handle_delete_bucket(
// 1. delete bucket alias
if is_local_alias {
helper
- .unset_local_bucket_alias(bucket_id, &api_key.key_id, &bucket_name)
+ .unset_local_bucket_alias(*bucket_id, &api_key.key_id, bucket_name)
.await?;
} else {
helper
- .unset_global_bucket_alias(bucket_id, &bucket_name)
+ .unset_global_bucket_alias(*bucket_id, bucket_name)
.await?;
}
// 2. delete authorization from keys that had access
- for (key_id, _) in bucket.authorized_keys() {
+ for (key_id, _) in bucket_state.authorized_keys.items() {
helper
- .set_bucket_key_permissions(bucket.id, key_id, BucketKeyPerm::NO_PERMISSIONS)
+ .set_bucket_key_permissions(*bucket_id, key_id, BucketKeyPerm::NO_PERMISSIONS)
.await?;
}
+ let bucket = Bucket {
+ id: *bucket_id,
+ state: Deletable::delete(),
+ };
// 3. delete bucket
- bucket.state = Deletable::delete();
garage.bucket_table.insert(&bucket).await?;
} else if is_local_alias {
// Just unalias
helper
- .unset_local_bucket_alias(bucket_id, &api_key.key_id, &bucket_name)
+ .unset_local_bucket_alias(*bucket_id, &api_key.key_id, bucket_name)
.await?;
} else {
// Just unalias (but from global namespace)
helper
- .unset_global_bucket_alias(bucket_id, &bucket_name)
+ .unset_global_bucket_alias(*bucket_id, bucket_name)
.await?;
}
diff --git a/src/api/s3/copy.rs b/src/api/s3/copy.rs
index 880ce5f4..3c2bd483 100644
--- a/src/api/s3/copy.rs
+++ b/src/api/s3/copy.rs
@@ -1,5 +1,4 @@
use std::pin::Pin;
-use std::sync::Arc;
use std::time::{Duration, SystemTime, UNIX_EPOCH};
use futures::{stream, stream::Stream, StreamExt};
@@ -15,8 +14,6 @@ use garage_table::*;
use garage_util::data::*;
use garage_util::time::*;
-use garage_model::garage::Garage;
-use garage_model::key_table::Key;
use garage_model::s3::block_ref_table::*;
use garage_model::s3::mpu_table::*;
use garage_model::s3::object_table::*;
@@ -30,15 +27,19 @@ use crate::s3::put::get_headers;
use crate::s3::xml::{self as s3_xml, xmlns_tag};
pub async fn handle_copy(
- garage: Arc<Garage>,
- api_key: &Key,
+ ctx: ReqCtx,
req: &Request<ReqBody>,
- dest_bucket_id: Uuid,
dest_key: &str,
) -> Result<Response<ResBody>, Error> {
let copy_precondition = CopyPreconditionHeaders::parse(req)?;
- let source_object = get_copy_source(&garage, api_key, req).await?;
+ let source_object = get_copy_source(&ctx, req).await?;
+
+ let ReqCtx {
+ garage,
+ bucket_id: dest_bucket_id,
+ ..
+ } = ctx;
let (source_version, source_version_data, source_version_meta) =
extract_source_info(&source_object)?;
@@ -181,10 +182,8 @@ pub async fn handle_copy(
}
pub async fn handle_upload_part_copy(
- garage: Arc<Garage>,
- api_key: &Key,
+ ctx: ReqCtx,
req: &Request<ReqBody>,
- dest_bucket_id: Uuid,
dest_key: &str,
part_number: u64,
upload_id: &str,
@@ -195,10 +194,12 @@ pub async fn handle_upload_part_copy(
let dest_key = dest_key.to_string();
let (source_object, (_, _, mut dest_mpu)) = futures::try_join!(
- get_copy_source(&garage, api_key, req),
- multipart::get_upload(&garage, &dest_bucket_id, &dest_key, &dest_upload_id)
+ get_copy_source(&ctx, req),
+ multipart::get_upload(&ctx, &dest_key, &dest_upload_id)
)?;
+ let ReqCtx { garage, .. } = ctx;
+
let (source_object_version, source_version_data, source_version_meta) =
extract_source_info(&source_object)?;
@@ -439,11 +440,11 @@ pub async fn handle_upload_part_copy(
.body(string_body(resp_xml))?)
}
-async fn get_copy_source(
- garage: &Garage,
- api_key: &Key,
- req: &Request<ReqBody>,
-) -> Result<Object, Error> {
+async fn get_copy_source(ctx: &ReqCtx, req: &Request<ReqBody>) -> Result<Object, Error> {
+ let ReqCtx {
+ garage, api_key, ..
+ } = ctx;
+
let copy_source = req.headers().get("x-amz-copy-source").unwrap().to_str()?;
let copy_source = percent_encoding::percent_decode_str(copy_source).decode_utf8()?;
diff --git a/src/api/s3/cors.rs b/src/api/s3/cors.rs
index e069cae4..173b7ffe 100644
--- a/src/api/s3/cors.rs
+++ b/src/api/s3/cors.rs
@@ -21,16 +21,13 @@ use crate::s3::error::*;
use crate::s3::xml::{to_xml_with_header, xmlns_tag, IntValue, Value};
use crate::signature::verify_signed_content;
-use garage_model::bucket_table::{Bucket, CorsRule as GarageCorsRule};
+use garage_model::bucket_table::{Bucket, BucketParams, CorsRule as GarageCorsRule};
use garage_model::garage::Garage;
use garage_util::data::*;
-pub async fn handle_get_cors(bucket: &Bucket) -> Result<Response<ResBody>, Error> {
- let param = bucket
- .params()
- .ok_or_internal_error("Bucket should not be deleted at this point")?;
-
- if let Some(cors) = param.cors_config.get() {
+pub async fn handle_get_cors(ctx: ReqCtx) -> Result<Response<ResBody>, Error> {
+ let ReqCtx { bucket_params, .. } = ctx;
+ if let Some(cors) = bucket_params.cors_config.get() {
let wc = CorsConfiguration {
xmlns: (),
cors_rules: cors
@@ -50,16 +47,18 @@ pub async fn handle_get_cors(bucket: &Bucket) -> Result<Response<ResBody>, Error
}
}
-pub async fn handle_delete_cors(
- garage: Arc<Garage>,
- mut bucket: Bucket,
-) -> Result<Response<ResBody>, Error> {
- let param = bucket
- .params_mut()
- .ok_or_internal_error("Bucket should not be deleted at this point")?;
-
- param.cors_config.update(None);
- garage.bucket_table.insert(&bucket).await?;
+pub async fn handle_delete_cors(ctx: ReqCtx) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage,
+ bucket_id,
+ mut bucket_params,
+ ..
+ } = ctx;
+ bucket_params.cors_config.update(None);
+ garage
+ .bucket_table
+ .insert(&Bucket::present(bucket_id, bucket_params))
+ .await?;
Ok(Response::builder()
.status(StatusCode::NO_CONTENT)
@@ -67,28 +66,33 @@ pub async fn handle_delete_cors(
}
pub async fn handle_put_cors(
- garage: Arc<Garage>,
- mut bucket: Bucket,
+ ctx: ReqCtx,
req: Request<ReqBody>,
content_sha256: Option<Hash>,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage,
+ bucket_id,
+ mut bucket_params,
+ ..
+ } = ctx;
+
let body = BodyExt::collect(req.into_body()).await?.to_bytes();
if let Some(content_sha256) = content_sha256 {
verify_signed_content(content_sha256, &body[..])?;
}
- let param = bucket
- .params_mut()
- .ok_or_internal_error("Bucket should not be deleted at this point")?;
-
let conf: CorsConfiguration = from_reader(&body as &[u8])?;
conf.validate()?;
- param
+ bucket_params
.cors_config
.update(Some(conf.into_garage_cors_config()?));
- garage.bucket_table.insert(&bucket).await?;
+ garage
+ .bucket_table
+ .insert(&Bucket::present(bucket_id, bucket_params))
+ .await?;
Ok(Response::builder()
.status(StatusCode::OK)
@@ -115,7 +119,8 @@ pub async fn handle_options_api(
let bucket_id = helper.resolve_global_bucket_name(&bn).await?;
if let Some(id) = bucket_id {
let bucket = garage.bucket_helper().get_existing_bucket(id).await?;
- handle_options_for_bucket(req, &bucket)
+ let bucket_params = bucket.state.into_option().unwrap();
+ handle_options_for_bucket(req, &bucket_params)
} else {
// If there is a bucket name in the request, but that name
// does not correspond to a global alias for a bucket,
@@ -145,7 +150,7 @@ pub async fn handle_options_api(
pub fn handle_options_for_bucket(
req: &Request<IncomingBody>,
- bucket: &Bucket,
+ bucket_params: &BucketParams,
) -> Result<Response<EmptyBody>, CommonError> {
let origin = req
.headers()
@@ -162,7 +167,7 @@ pub fn handle_options_for_bucket(
None => vec![],
};
- if let Some(cors_config) = bucket.params().unwrap().cors_config.get() {
+ if let Some(cors_config) = bucket_params.cors_config.get() {
let matching_rule = cors_config
.iter()
.find(|rule| cors_rule_matches(rule, origin, request_method, request_headers.iter()));
@@ -181,10 +186,10 @@ pub fn handle_options_for_bucket(
}
pub fn find_matching_cors_rule<'a>(
- bucket: &'a Bucket,
+ bucket_params: &'a BucketParams,
req: &Request<impl Body>,
) -> Result<Option<&'a GarageCorsRule>, Error> {
- if let Some(cors_config) = bucket.params().unwrap().cors_config.get() {
+ if let Some(cors_config) = bucket_params.cors_config.get() {
if let Some(origin) = req.headers().get("Origin") {
let origin = origin.to_str()?;
let request_headers = match req.headers().get(ACCESS_CONTROL_REQUEST_HEADERS) {
diff --git a/src/api/s3/delete.rs b/src/api/s3/delete.rs
index 3fb39147..57f6f948 100644
--- a/src/api/s3/delete.rs
+++ b/src/api/s3/delete.rs
@@ -1,11 +1,8 @@
-use std::sync::Arc;
-
use http_body_util::BodyExt;
use hyper::{Request, Response, StatusCode};
use garage_util::data::*;
-use garage_model::garage::Garage;
use garage_model::s3::object_table::*;
use crate::helpers::*;
@@ -15,14 +12,13 @@ use crate::s3::put::next_timestamp;
use crate::s3::xml as s3_xml;
use crate::signature::verify_signed_content;
-async fn handle_delete_internal(
- garage: &Garage,
- bucket_id: Uuid,
- key: &str,
-) -> Result<(Uuid, Uuid), Error> {
+async fn handle_delete_internal(ctx: &ReqCtx, key: &str) -> Result<(Uuid, Uuid), Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = ctx;
let object = garage
.object_table
- .get(&bucket_id, &key.to_string())
+ .get(bucket_id, &key.to_string())
.await?
.ok_or(Error::NoSuchKey)?; // No need to delete
@@ -44,7 +40,7 @@ async fn handle_delete_internal(
};
let object = Object::new(
- bucket_id,
+ *bucket_id,
key.into(),
vec![ObjectVersion {
uuid: del_uuid,
@@ -58,12 +54,8 @@ async fn handle_delete_internal(
Ok((deleted_version, del_uuid))
}
-pub async fn handle_delete(
- garage: Arc<Garage>,
- bucket_id: Uuid,
- key: &str,
-) -> Result<Response<ResBody>, Error> {
- match handle_delete_internal(&garage, bucket_id, key).await {
+pub async fn handle_delete(ctx: ReqCtx, key: &str) -> Result<Response<ResBody>, Error> {
+ match handle_delete_internal(&ctx, key).await {
Ok(_) | Err(Error::NoSuchKey) => Ok(Response::builder()
.status(StatusCode::NO_CONTENT)
.body(empty_body())
@@ -73,8 +65,7 @@ pub async fn handle_delete(
}
pub async fn handle_delete_objects(
- garage: Arc<Garage>,
- bucket_id: Uuid,
+ ctx: ReqCtx,
req: Request<ReqBody>,
content_sha256: Option<Hash>,
) -> Result<Response<ResBody>, Error> {
@@ -91,7 +82,7 @@ pub async fn handle_delete_objects(
let mut ret_errors = Vec::new();
for obj in cmd.objects.iter() {
- match handle_delete_internal(&garage, bucket_id, &obj.key).await {
+ match handle_delete_internal(&ctx, &obj.key).await {
Ok((deleted_version, delete_marker_version)) => {
if cmd.quiet {
continue;
diff --git a/src/api/s3/get.rs b/src/api/s3/get.rs
index 0d18e775..ed996fb1 100644
--- a/src/api/s3/get.rs
+++ b/src/api/s3/get.rs
@@ -131,6 +131,16 @@ fn try_answer_cached(
/// Handle HEAD request
pub async fn handle_head(
+ ctx: ReqCtx,
+ req: &Request<impl Body>,
+ key: &str,
+ part_number: Option<u64>,
+) -> Result<Response<ResBody>, Error> {
+ handle_head_without_ctx(ctx.garage, req, ctx.bucket_id, key, part_number).await
+}
+
+/// Handle HEAD request for website
+pub async fn handle_head_without_ctx(
garage: Arc<Garage>,
req: &Request<impl Body>,
bucket_id: Uuid,
@@ -218,6 +228,17 @@ pub async fn handle_head(
/// Handle GET request
pub async fn handle_get(
+ ctx: ReqCtx,
+ req: &Request<impl Body>,
+ key: &str,
+ part_number: Option<u64>,
+ overrides: GetObjectOverrides,
+) -> Result<Response<ResBody>, Error> {
+ handle_get_without_ctx(ctx.garage, req, ctx.bucket_id, key, part_number, overrides).await
+}
+
+/// Handle GET request
+pub async fn handle_get_without_ctx(
garage: Arc<Garage>,
req: &Request<impl Body>,
bucket_id: Uuid,
diff --git a/src/api/s3/lifecycle.rs b/src/api/s3/lifecycle.rs
index 35757e8c..7eb1c2cb 100644
--- a/src/api/s3/lifecycle.rs
+++ b/src/api/s3/lifecycle.rs
@@ -1,5 +1,4 @@
use quick_xml::de::from_reader;
-use std::sync::Arc;
use http_body_util::BodyExt;
use hyper::{Request, Response, StatusCode};
@@ -16,15 +15,12 @@ use garage_model::bucket_table::{
parse_lifecycle_date, Bucket, LifecycleExpiration as GarageLifecycleExpiration,
LifecycleFilter as GarageLifecycleFilter, LifecycleRule as GarageLifecycleRule,
};
-use garage_model::garage::Garage;
use garage_util::data::*;
-pub async fn handle_get_lifecycle(bucket: &Bucket) -> Result<Response<ResBody>, Error> {
- let param = bucket
- .params()
- .ok_or_internal_error("Bucket should not be deleted at this point")?;
+pub async fn handle_get_lifecycle(ctx: ReqCtx) -> Result<Response<ResBody>, Error> {
+ let ReqCtx { bucket_params, .. } = ctx;
- if let Some(lifecycle) = param.lifecycle_config.get() {
+ if let Some(lifecycle) = bucket_params.lifecycle_config.get() {
let wc = LifecycleConfiguration::from_garage_lifecycle_config(lifecycle);
let xml = to_xml_with_header(&wc)?;
Ok(Response::builder()
@@ -38,16 +34,18 @@ pub async fn handle_get_lifecycle(bucket: &Bucket) -> Result<Response<ResBody>,
}
}
-pub async fn handle_delete_lifecycle(
- garage: Arc<Garage>,
- mut bucket: Bucket,
-) -> Result<Response<ResBody>, Error> {
- let param = bucket
- .params_mut()
- .ok_or_internal_error("Bucket should not be deleted at this point")?;
-
- param.lifecycle_config.update(None);
- garage.bucket_table.insert(&bucket).await?;
+pub async fn handle_delete_lifecycle(ctx: ReqCtx) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage,
+ bucket_id,
+ mut bucket_params,
+ ..
+ } = ctx;
+ bucket_params.lifecycle_config.update(None);
+ garage
+ .bucket_table
+ .insert(&Bucket::present(bucket_id, bucket_params))
+ .await?;
Ok(Response::builder()
.status(StatusCode::NO_CONTENT)
@@ -55,28 +53,33 @@ pub async fn handle_delete_lifecycle(
}
pub async fn handle_put_lifecycle(
- garage: Arc<Garage>,
- mut bucket: Bucket,
+ ctx: ReqCtx,
req: Request<ReqBody>,
content_sha256: Option<Hash>,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage,
+ bucket_id,
+ mut bucket_params,
+ ..
+ } = ctx;
+
let body = BodyExt::collect(req.into_body()).await?.to_bytes();
if let Some(content_sha256) = content_sha256 {
verify_signed_content(content_sha256, &body[..])?;
}
- let param = bucket
- .params_mut()
- .ok_or_internal_error("Bucket should not be deleted at this point")?;
-
let conf: LifecycleConfiguration = from_reader(&body as &[u8])?;
let config = conf
.validate_into_garage_lifecycle_config()
.ok_or_bad_request("Invalid lifecycle configuration")?;
- param.lifecycle_config.update(Some(config));
- garage.bucket_table.insert(&bucket).await?;
+ bucket_params.lifecycle_config.update(Some(config));
+ garage
+ .bucket_table
+ .insert(&Bucket::present(bucket_id, bucket_params))
+ .await?;
Ok(Response::builder()
.status(StatusCode::OK)
diff --git a/src/api/s3/list.rs b/src/api/s3/list.rs
index b832a4f4..302c03f4 100644
--- a/src/api/s3/list.rs
+++ b/src/api/s3/list.rs
@@ -1,6 +1,5 @@
use std::collections::{BTreeMap, BTreeSet};
use std::iter::{Iterator, Peekable};
-use std::sync::Arc;
use base64::prelude::*;
use hyper::Response;
@@ -9,7 +8,6 @@ use garage_util::data::*;
use garage_util::error::Error as GarageError;
use garage_util::time::*;
-use garage_model::garage::Garage;
use garage_model::s3::mpu_table::*;
use garage_model::s3::object_table::*;
@@ -62,9 +60,10 @@ pub struct ListPartsQuery {
}
pub async fn handle_list(
- garage: Arc<Garage>,
+ ctx: ReqCtx,
query: &ListObjectsQuery,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx { garage, .. } = &ctx;
let io = |bucket, key, count| {
let t = &garage.object_table;
async move {
@@ -167,9 +166,11 @@ pub async fn handle_list(
}
pub async fn handle_list_multipart_upload(
- garage: Arc<Garage>,
+ ctx: ReqCtx,
query: &ListMultipartUploadsQuery,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx { garage, .. } = &ctx;
+
let io = |bucket, key, count| {
let t = &garage.object_table;
async move {
@@ -269,15 +270,14 @@ pub async fn handle_list_multipart_upload(
}
pub async fn handle_list_parts(
- garage: Arc<Garage>,
+ ctx: ReqCtx,
query: &ListPartsQuery,
) -> Result<Response<ResBody>, Error> {
debug!("ListParts {:?}", query);
let upload_id = s3_multipart::decode_upload_id(&query.upload_id)?;
- let (_, _, mpu) =
- s3_multipart::get_upload(&garage, &query.bucket_id, &query.key, &upload_id).await?;
+ let (_, _, mpu) = s3_multipart::get_upload(&ctx, &query.key, &upload_id).await?;
let (info, next) = fetch_part_info(query, &mpu)?;
diff --git a/src/api/s3/multipart.rs b/src/api/s3/multipart.rs
index 5959bcd6..1d5aeb26 100644
--- a/src/api/s3/multipart.rs
+++ b/src/api/s3/multipart.rs
@@ -8,7 +8,6 @@ use md5::{Digest as Md5Digest, Md5};
use garage_table::*;
use garage_util::data::*;
-use garage_model::bucket_table::Bucket;
use garage_model::garage::Garage;
use garage_model::s3::block_ref_table::*;
use garage_model::s3::mpu_table::*;
@@ -25,12 +24,16 @@ use crate::signature::verify_signed_content;
// ----
pub async fn handle_create_multipart_upload(
- garage: Arc<Garage>,
+ ctx: ReqCtx,
req: &Request<ReqBody>,
- bucket_name: &str,
- bucket_id: Uuid,
key: &String,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage,
+ bucket_id,
+ bucket_name,
+ ..
+ } = &ctx;
let existing_object = garage.object_table.get(&bucket_id, &key).await?;
let upload_id = gen_uuid();
@@ -47,13 +50,13 @@ pub async fn handle_create_multipart_upload(
headers,
},
};
- let object = Object::new(bucket_id, key.to_string(), vec![object_version]);
+ let object = Object::new(*bucket_id, key.to_string(), vec![object_version]);
garage.object_table.insert(&object).await?;
// Create multipart upload in mpu table
// This multipart upload will hold references to uploaded parts
// (which are entries in the Version table)
- let mpu = MultipartUpload::new(upload_id, timestamp, bucket_id, key.into(), false);
+ let mpu = MultipartUpload::new(upload_id, timestamp, *bucket_id, key.into(), false);
garage.mpu_table.insert(&mpu).await?;
// Send success response
@@ -69,14 +72,15 @@ pub async fn handle_create_multipart_upload(
}
pub async fn handle_put_part(
- garage: Arc<Garage>,
+ ctx: ReqCtx,
req: Request<ReqBody>,
- bucket_id: Uuid,
key: &str,
part_number: u64,
upload_id: &str,
content_sha256: Option<Hash>,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx { garage, .. } = &ctx;
+
let upload_id = decode_upload_id(upload_id)?;
let content_md5 = match req.headers().get("content-md5") {
@@ -90,10 +94,8 @@ pub async fn handle_put_part(
let stream = body_stream(req.into_body());
let mut chunker = StreamChunker::new(stream, garage.config.block_size);
- let ((_, _, mut mpu), first_block) = futures::try_join!(
- get_upload(&garage, &bucket_id, &key, &upload_id),
- chunker.next(),
- )?;
+ let ((_, _, mut mpu), first_block) =
+ futures::try_join!(get_upload(&ctx, &key, &upload_id), chunker.next(),)?;
// Check object is valid and part can be accepted
let first_block = first_block.ok_or_bad_request("Empty body")?;
@@ -135,7 +137,7 @@ pub async fn handle_put_part(
// Copy data to version
let (total_size, data_md5sum, data_sha256sum, _) =
- read_and_put_blocks(&garage, &version, part_number, first_block, &mut chunker).await?;
+ read_and_put_blocks(&ctx, &version, part_number, first_block, &mut chunker).await?;
// Verify that checksums map
ensure_checksum_matches(
@@ -200,14 +202,19 @@ impl Drop for InterruptedCleanup {
}
pub async fn handle_complete_multipart_upload(
- garage: Arc<Garage>,
+ ctx: ReqCtx,
req: Request<ReqBody>,
- bucket_name: &str,
- bucket: &Bucket,
key: &str,
upload_id: &str,
content_sha256: Option<Hash>,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage,
+ bucket_id,
+ bucket_name,
+ ..
+ } = &ctx;
+
let body = http_body_util::BodyExt::collect(req.into_body())
.await?
.to_bytes();
@@ -228,8 +235,7 @@ pub async fn handle_complete_multipart_upload(
// Get object and multipart upload
let key = key.to_string();
- let (object, mut object_version, mpu) =
- get_upload(&garage, &bucket.id, &key, &upload_id).await?;
+ let (object, mut object_version, mpu) = get_upload(&ctx, &key, &upload_id).await?;
if mpu.parts.is_empty() {
return Err(Error::bad_request("No data was uploaded"));
@@ -283,7 +289,7 @@ pub async fn handle_complete_multipart_upload(
let mut final_version = Version::new(
upload_id,
VersionBacklink::Object {
- bucket_id: bucket.id,
+ bucket_id: *bucket_id,
key: key.to_string(),
},
false,
@@ -327,9 +333,9 @@ pub async fn handle_complete_multipart_upload(
// Calculate total size of final object
let total_size = parts.iter().map(|x| x.size.unwrap()).sum();
- if let Err(e) = check_quotas(&garage, bucket, total_size, Some(&object)).await {
+ if let Err(e) = check_quotas(&ctx, total_size, Some(&object)).await {
object_version.state = ObjectVersionState::Aborted;
- let final_object = Object::new(bucket.id, key.clone(), vec![object_version]);
+ let final_object = Object::new(*bucket_id, key.clone(), vec![object_version]);
garage.object_table.insert(&final_object).await?;
return Err(e);
@@ -345,7 +351,7 @@ pub async fn handle_complete_multipart_upload(
final_version.blocks.items()[0].1.hash,
));
- let final_object = Object::new(bucket.id, key.clone(), vec![object_version]);
+ let final_object = Object::new(*bucket_id, key.clone(), vec![object_version]);
garage.object_table.insert(&final_object).await?;
// Send response saying ok we're done
@@ -362,18 +368,20 @@ pub async fn handle_complete_multipart_upload(
}
pub async fn handle_abort_multipart_upload(
- garage: Arc<Garage>,
- bucket_id: Uuid,
+ ctx: ReqCtx,
key: &str,
upload_id: &str,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = &ctx;
+
let upload_id = decode_upload_id(upload_id)?;
- let (_, mut object_version, _) =
- get_upload(&garage, &bucket_id, &key.to_string(), &upload_id).await?;
+ let (_, mut object_version, _) = get_upload(&ctx, &key.to_string(), &upload_id).await?;
object_version.state = ObjectVersionState::Aborted;
- let final_object = Object::new(bucket_id, key.to_string(), vec![object_version]);
+ let final_object = Object::new(*bucket_id, key.to_string(), vec![object_version]);
garage.object_table.insert(&final_object).await?;
Ok(Response::new(empty_body()))
@@ -383,11 +391,13 @@ pub async fn handle_abort_multipart_upload(
#[allow(clippy::ptr_arg)]
pub(crate) async fn get_upload(
- garage: &Garage,
- bucket_id: &Uuid,
+ ctx: &ReqCtx,
key: &String,
upload_id: &Uuid,
) -> Result<(Object, ObjectVersion, MultipartUpload), Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = ctx;
let (object, mpu) = futures::try_join!(
garage.object_table.get(bucket_id, key).map_err(Error::from),
garage
diff --git a/src/api/s3/post_object.rs b/src/api/s3/post_object.rs
index bca8d6c6..66f8174c 100644
--- a/src/api/s3/post_object.rs
+++ b/src/api/s3/post_object.rs
@@ -21,7 +21,7 @@ use crate::s3::cors::*;
use crate::s3::error::*;
use crate::s3::put::{get_headers, save_stream};
use crate::s3::xml as s3_xml;
-use crate::signature::payload::{parse_date, verify_v4};
+use crate::signature::payload::{verify_v4, Authorization};
pub async fn handle_post_object(
garage: Arc<Garage>,
@@ -88,22 +88,11 @@ pub async fn handle_post_object(
.get("key")
.ok_or_bad_request("No key was provided")?
.to_str()?;
- let credential = params
- .get("x-amz-credential")
- .ok_or_else(|| Error::forbidden("Garage does not support anonymous access yet"))?
- .to_str()?;
let policy = params
.get("policy")
.ok_or_bad_request("No policy was provided")?
.to_str()?;
- let signature = params
- .get("x-amz-signature")
- .ok_or_bad_request("No signature was provided")?
- .to_str()?;
- let date = params
- .get("x-amz-date")
- .ok_or_bad_request("No date was provided")?
- .to_str()?;
+ let authorization = Authorization::parse_form(&params)?;
let key = if key.contains("${filename}") {
// if no filename is provided, don't replace. This matches the behavior of AWS.
@@ -116,16 +105,7 @@ pub async fn handle_post_object(
key.to_owned()
};
- let date = parse_date(date)?;
- let api_key = verify_v4(
- &garage,
- "s3",
- credential,
- &date,
- signature,
- policy.as_bytes(),
- )
- .await?;
+ let api_key = verify_v4(&garage, "s3", &authorization, policy.as_bytes()).await?;
let bucket_id = garage
.bucket_helper()
@@ -140,6 +120,12 @@ pub async fn handle_post_object(
.bucket_helper()
.get_existing_bucket(bucket_id)
.await?;
+ let bucket_params = bucket.state.into_option().unwrap();
+ let matching_cors_rule = find_matching_cors_rule(
+ &bucket_params,
+ &Request::from_parts(head.clone(), empty_body::<Infallible>()),
+ )?
+ .cloned();
let decoded_policy = BASE64_STANDARD
.decode(policy)
@@ -233,11 +219,19 @@ pub async fn handle_post_object(
let headers = get_headers(&params)?;
let stream = field.map(|r| r.map_err(Into::into));
- let (_, md5) = save_stream(
+
+ let ctx = ReqCtx {
garage,
+ bucket_id,
+ bucket_name,
+ bucket_params,
+ api_key,
+ };
+
+ let (_, md5) = save_stream(
+ &ctx,
headers,
StreamLimiter::new(stream, conditions.content_length),
- &bucket,
&key,
None,
None,
@@ -254,7 +248,7 @@ pub async fn handle_post_object(
{
target
.query_pairs_mut()
- .append_pair("bucket", &bucket_name)
+ .append_pair("bucket", &ctx.bucket_name)
.append_pair("key", &key)
.append_pair("etag", &etag);
let target = target.to_string();
@@ -298,7 +292,7 @@ pub async fn handle_post_object(
let xml = s3_xml::PostObject {
xmlns: (),
location: s3_xml::Value(location),
- bucket: s3_xml::Value(bucket_name),
+ bucket: s3_xml::Value(ctx.bucket_name),
key: s3_xml::Value(key),
etag: s3_xml::Value(etag),
};
@@ -311,12 +305,8 @@ pub async fn handle_post_object(
}
};
- let matching_cors_rule = find_matching_cors_rule(
- &bucket,
- &Request::from_parts(head, empty_body::<Infallible>()),
- )?;
if let Some(rule) = matching_cors_rule {
- add_cors_headers(&mut resp, rule)
+ add_cors_headers(&mut resp, &rule)
.ok_or_internal_error("Invalid bucket CORS configuration")?;
}
diff --git a/src/api/s3/put.rs b/src/api/s3/put.rs
index c1af513c..f06aa7a2 100644
--- a/src/api/s3/put.rs
+++ b/src/api/s3/put.rs
@@ -28,7 +28,6 @@ use garage_util::error::Error as GarageError;
use garage_util::time::*;
use garage_block::manager::INLINE_THRESHOLD;
-use garage_model::bucket_table::Bucket;
use garage_model::garage::Garage;
use garage_model::index_counter::CountedItem;
use garage_model::s3::block_ref_table::*;
@@ -42,9 +41,8 @@ use crate::s3::error::*;
const PUT_BLOCKS_MAX_PARALLEL: usize = 3;
pub async fn handle_put(
- garage: Arc<Garage>,
+ ctx: ReqCtx,
req: Request<ReqBody>,
- bucket: &Bucket,
key: &String,
content_sha256: Option<Hash>,
) -> Result<Response<ResBody>, Error> {
@@ -59,35 +57,27 @@ pub async fn handle_put(
let stream = body_stream(req.into_body());
- save_stream(
- garage,
- headers,
- stream,
- bucket,
- key,
- content_md5,
- content_sha256,
- )
- .await
- .map(|(uuid, md5)| put_response(uuid, md5))
+ save_stream(&ctx, headers, stream, key, content_md5, content_sha256)
+ .await
+ .map(|(uuid, md5)| put_response(uuid, md5))
}
pub(crate) async fn save_stream<S: Stream<Item = Result<Bytes, Error>> + Unpin>(
- garage: Arc<Garage>,
+ ctx: &ReqCtx,
headers: ObjectVersionHeaders,
body: S,
- bucket: &Bucket,
key: &String,
content_md5: Option<String>,
content_sha256: Option<FixedBytes32>,
) -> Result<(Uuid, String), Error> {
+ let ReqCtx {
+ garage, bucket_id, ..
+ } = ctx;
+
let mut chunker = StreamChunker::new(body, garage.config.block_size);
let (first_block_opt, existing_object) = try_join!(
chunker.next(),
- garage
- .object_table
- .get(&bucket.id, key)
- .map_err(Error::from),
+ garage.object_table.get(bucket_id, key).map_err(Error::from),
)?;
let first_block = first_block_opt.unwrap_or_default();
@@ -114,7 +104,7 @@ pub(crate) async fn save_stream<S: Stream<Item = Result<Bytes, Error>> + Unpin>(
content_sha256,
)?;
- check_quotas(&garage, bucket, size, existing_object.as_ref()).await?;
+ check_quotas(ctx, size, existing_object.as_ref()).await?;
let object_version = ObjectVersion {
uuid: version_uuid,
@@ -129,7 +119,7 @@ pub(crate) async fn save_stream<S: Stream<Item = Result<Bytes, Error>> + Unpin>(
)),
};
- let object = Object::new(bucket.id, key.into(), vec![object_version]);
+ let object = Object::new(*bucket_id, key.into(), vec![object_version]);
garage.object_table.insert(&object).await?;
return Ok((version_uuid, data_md5sum_hex));
@@ -140,7 +130,7 @@ pub(crate) async fn save_stream<S: Stream<Item = Result<Bytes, Error>> + Unpin>(
// before everything is finished (cleanup is done using the Drop trait).
let mut interrupted_cleanup = InterruptedCleanup(Some(InterruptedCleanupInner {
garage: garage.clone(),
- bucket_id: bucket.id,
+ bucket_id: *bucket_id,
key: key.into(),
version_uuid,
version_timestamp,
@@ -156,7 +146,7 @@ pub(crate) async fn save_stream<S: Stream<Item = Result<Bytes, Error>> + Unpin>(
multipart: false,
},
};
- let object = Object::new(bucket.id, key.into(), vec![object_version.clone()]);
+ let object = Object::new(*bucket_id, key.into(), vec![object_version.clone()]);
garage.object_table.insert(&object).await?;
// Initialize corresponding entry in version table
@@ -166,7 +156,7 @@ pub(crate) async fn save_stream<S: Stream<Item = Result<Bytes, Error>> + Unpin>(
let version = Version::new(
version_uuid,
VersionBacklink::Object {
- bucket_id: bucket.id,
+ bucket_id: *bucket_id,
key: key.into(),
},
false,
@@ -175,7 +165,7 @@ pub(crate) async fn save_stream<S: Stream<Item = Result<Bytes, Error>> + Unpin>(
// Transfer data and verify checksum
let (total_size, data_md5sum, data_sha256sum, first_block_hash) =
- read_and_put_blocks(&garage, &version, 1, first_block, &mut chunker).await?;
+ read_and_put_blocks(ctx, &version, 1, first_block, &mut chunker).await?;
ensure_checksum_matches(
data_md5sum.as_slice(),
@@ -184,7 +174,7 @@ pub(crate) async fn save_stream<S: Stream<Item = Result<Bytes, Error>> + Unpin>(
content_sha256,
)?;
- check_quotas(&garage, bucket, total_size, existing_object.as_ref()).await?;
+ check_quotas(ctx, total_size, existing_object.as_ref()).await?;
// Save final object state, marked as Complete
let md5sum_hex = hex::encode(data_md5sum);
@@ -196,7 +186,7 @@ pub(crate) async fn save_stream<S: Stream<Item = Result<Bytes, Error>> + Unpin>(
},
first_block_hash,
));
- let object = Object::new(bucket.id, key.into(), vec![object_version]);
+ let object = Object::new(*bucket_id, key.into(), vec![object_version]);
garage.object_table.insert(&object).await?;
// We were not interrupted, everything went fine.
@@ -235,12 +225,18 @@ pub(crate) fn ensure_checksum_matches(
/// Check that inserting this object with this size doesn't exceed bucket quotas
pub(crate) async fn check_quotas(
- garage: &Arc<Garage>,
- bucket: &Bucket,
+ ctx: &ReqCtx,
size: u64,
prev_object: Option<&Object>,
) -> Result<(), Error> {
- let quotas = bucket.state.as_option().unwrap().quotas.get();
+ let ReqCtx {
+ garage,
+ bucket_id,
+ bucket_params,
+ ..
+ } = ctx;
+
+ let quotas = bucket_params.quotas.get();
if quotas.max_objects.is_none() && quotas.max_size.is_none() {
return Ok(());
};
@@ -248,7 +244,7 @@ pub(crate) async fn check_quotas(
let counters = garage
.object_counter_table
.table
- .get(&bucket.id, &EmptyKey)
+ .get(bucket_id, &EmptyKey)
.await?;
let counters = counters
@@ -292,7 +288,7 @@ pub(crate) async fn check_quotas(
}
pub(crate) async fn read_and_put_blocks<S: Stream<Item = Result<Bytes, Error>> + Unpin>(
- garage: &Garage,
+ ctx: &ReqCtx,
version: &Version,
part_number: u64,
first_block: Bytes,
@@ -417,7 +413,7 @@ pub(crate) async fn read_and_put_blocks<S: Stream<Item = Result<Bytes, Error>> +
let offset = written_bytes;
written_bytes += block.len() as u64;
write_futs.push_back(put_block_and_meta(
- garage,
+ ctx,
version,
part_number,
offset,
@@ -447,7 +443,7 @@ pub(crate) async fn read_and_put_blocks<S: Stream<Item = Result<Bytes, Error>> +
}
async fn put_block_and_meta(
- garage: &Garage,
+ ctx: &ReqCtx,
version: &Version,
part_number: u64,
offset: u64,
@@ -455,6 +451,8 @@ async fn put_block_and_meta(
block: Bytes,
order_tag: OrderTag,
) -> Result<(), GarageError> {
+ let ReqCtx { garage, .. } = ctx;
+
let mut version = version.clone();
version.blocks.put(
VersionBlockKey {
diff --git a/src/api/s3/website.rs b/src/api/s3/website.rs
index 1c1dbf20..6af55677 100644
--- a/src/api/s3/website.rs
+++ b/src/api/s3/website.rs
@@ -1,5 +1,4 @@
use quick_xml::de::from_reader;
-use std::sync::Arc;
use http_body_util::BodyExt;
use hyper::{Request, Response, StatusCode};
@@ -12,15 +11,11 @@ use crate::s3::xml::{to_xml_with_header, xmlns_tag, IntValue, Value};
use crate::signature::verify_signed_content;
use garage_model::bucket_table::*;
-use garage_model::garage::Garage;
use garage_util::data::*;
-pub async fn handle_get_website(bucket: &Bucket) -> Result<Response<ResBody>, Error> {
- let param = bucket
- .params()
- .ok_or_internal_error("Bucket should not be deleted at this point")?;
-
- if let Some(website) = param.website_config.get() {
+pub async fn handle_get_website(ctx: ReqCtx) -> Result<Response<ResBody>, Error> {
+ let ReqCtx { bucket_params, .. } = ctx;
+ if let Some(website) = bucket_params.website_config.get() {
let wc = WebsiteConfiguration {
xmlns: (),
error_document: website.error_document.as_ref().map(|v| Key {
@@ -44,16 +39,18 @@ pub async fn handle_get_website(bucket: &Bucket) -> Result<Response<ResBody>, Er
}
}
-pub async fn handle_delete_website(
- garage: Arc<Garage>,
- mut bucket: Bucket,
-) -> Result<Response<ResBody>, Error> {
- let param = bucket
- .params_mut()
- .ok_or_internal_error("Bucket should not be deleted at this point")?;
-
- param.website_config.update(None);
- garage.bucket_table.insert(&bucket).await?;
+pub async fn handle_delete_website(ctx: ReqCtx) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage,
+ bucket_id,
+ mut bucket_params,
+ ..
+ } = ctx;
+ bucket_params.website_config.update(None);
+ garage
+ .bucket_table
+ .insert(&Bucket::present(bucket_id, bucket_params))
+ .await?;
Ok(Response::builder()
.status(StatusCode::NO_CONTENT)
@@ -61,28 +58,33 @@ pub async fn handle_delete_website(
}
pub async fn handle_put_website(
- garage: Arc<Garage>,
- mut bucket: Bucket,
+ ctx: ReqCtx,
req: Request<ReqBody>,
content_sha256: Option<Hash>,
) -> Result<Response<ResBody>, Error> {
+ let ReqCtx {
+ garage,
+ bucket_id,
+ mut bucket_params,
+ ..
+ } = ctx;
+
let body = BodyExt::collect(req.into_body()).await?.to_bytes();
if let Some(content_sha256) = content_sha256 {
verify_signed_content(content_sha256, &body[..])?;
}
- let param = bucket
- .params_mut()
- .ok_or_internal_error("Bucket should not be deleted at this point")?;
-
let conf: WebsiteConfiguration = from_reader(&body as &[u8])?;
conf.validate()?;
- param
+ bucket_params
.website_config
.update(Some(conf.into_garage_website_config()?));
- garage.bucket_table.insert(&bucket).await?;
+ garage
+ .bucket_table
+ .insert(&Bucket::present(bucket_id, bucket_params))
+ .await?;
Ok(Response::builder()
.status(StatusCode::OK)
diff --git a/src/api/signature/mod.rs b/src/api/signature/mod.rs
index 4b8b990f..6514da43 100644
--- a/src/api/signature/mod.rs
+++ b/src/api/signature/mod.rs
@@ -2,19 +2,44 @@ use chrono::{DateTime, Utc};
use hmac::{Hmac, Mac};
use sha2::Sha256;
+use hyper::{body::Incoming as IncomingBody, Request};
+
+use garage_model::garage::Garage;
+use garage_model::key_table::Key;
use garage_util::data::{sha256sum, Hash};
+use error::*;
+
pub mod error;
pub mod payload;
pub mod streaming;
-use error::*;
-
pub const SHORT_DATE: &str = "%Y%m%d";
pub const LONG_DATETIME: &str = "%Y%m%dT%H%M%SZ";
type HmacSha256 = Hmac<Sha256>;
+pub async fn verify_request(
+ garage: &Garage,
+ mut req: Request<IncomingBody>,
+ service: &'static str,
+) -> Result<(Request<streaming::ReqBody>, Key, Option<Hash>), Error> {
+ let (api_key, mut content_sha256) =
+ payload::check_payload_signature(&garage, &mut req, service).await?;
+ let api_key =
+ api_key.ok_or_else(|| Error::forbidden("Garage does not support anonymous access yet"))?;
+
+ let req = streaming::parse_streaming_body(
+ &api_key,
+ req,
+ &mut content_sha256,
+ &garage.config.s3_api.s3_region,
+ service,
+ )?;
+
+ Ok((req, api_key, content_sha256))
+}
+
pub fn verify_signed_content(expected_sha256: Hash, body: &[u8]) -> Result<(), Error> {
if expected_sha256 != sha256sum(body) {
return Err(Error::bad_request(
diff --git a/src/api/signature/payload.rs b/src/api/signature/payload.rs
index 423aad93..d72736bb 100644
--- a/src/api/signature/payload.rs
+++ b/src/api/signature/payload.rs
@@ -1,7 +1,9 @@
use std::collections::HashMap;
+use std::convert::TryFrom;
use chrono::{DateTime, Duration, NaiveDateTime, TimeZone, Utc};
use hmac::Mac;
+use hyper::header::{HeaderMap, HeaderName, HeaderValue, AUTHORIZATION, HOST};
use hyper::{body::Incoming as IncomingBody, Method, Request};
use sha2::{Digest, Sha256};
@@ -17,66 +19,98 @@ use super::{compute_scope, signing_hmac};
use crate::encoding::uri_encode;
use crate::signature::error::*;
+pub const X_AMZ_ALGORITHM: HeaderName = HeaderName::from_static("x-amz-algorithm");
+pub const X_AMZ_CREDENTIAL: HeaderName = HeaderName::from_static("x-amz-credential");
+pub const X_AMZ_DATE: HeaderName = HeaderName::from_static("x-amz-date");
+pub const X_AMZ_EXPIRES: HeaderName = HeaderName::from_static("x-amz-expires");
+pub const X_AMZ_SIGNEDHEADERS: HeaderName = HeaderName::from_static("x-amz-signedheaders");
+pub const X_AMZ_SIGNATURE: HeaderName = HeaderName::from_static("x-amz-signature");
+pub const X_AMZ_CONTENT_SH256: HeaderName = HeaderName::from_static("x-amz-content-sha256");
+
+pub const AWS4_HMAC_SHA256: &str = "AWS4-HMAC-SHA256";
+pub const UNSIGNED_PAYLOAD: &str = "UNSIGNED-PAYLOAD";
+pub const STREAMING_AWS4_HMAC_SHA256_PAYLOAD: &str = "STREAMING-AWS4-HMAC-SHA256-PAYLOAD";
+
+pub type QueryMap = HeaderMap<QueryValue>;
+pub struct QueryValue {
+ /// Original key with potential uppercase characters,
+ /// for use in signature calculation
+ key: String,
+ value: String,
+}
+
pub async fn check_payload_signature(
garage: &Garage,
+ request: &mut Request<IncomingBody>,
service: &'static str,
- request: &Request<IncomingBody>,
) -> Result<(Option<Key>, Option<Hash>), Error> {
- let mut headers = HashMap::new();
- for (key, val) in request.headers() {
- headers.insert(key.to_string(), val.to_str()?.to_string());
- }
- if let Some(query) = request.uri().query() {
- let query_pairs = url::form_urlencoded::parse(query.as_bytes());
- for (key, val) in query_pairs {
- headers.insert(key.to_lowercase(), val.to_string());
- }
- }
-
- let authorization = if let Some(authorization) = headers.get("authorization") {
- parse_authorization(authorization, &headers)?
- } else if let Some(algorithm) = headers.get("x-amz-algorithm") {
- parse_query_authorization(algorithm, &headers)?
+ let query = parse_query_map(request.uri())?;
+
+ if query.contains_key(&X_AMZ_ALGORITHM) {
+ // We check for presigned-URL-style authentification first, because
+ // the browser or someting else could inject an Authorization header
+ // that is totally unrelated to AWS signatures.
+ check_presigned_signature(garage, service, request, query).await
+ } else if request.headers().contains_key(AUTHORIZATION) {
+ check_standard_signature(garage, service, request, query).await
} else {
- let content_sha256 = headers.get("x-amz-content-sha256");
- if let Some(content_sha256) = content_sha256.filter(|c| "UNSIGNED-PAYLOAD" != c.as_str()) {
+ // Unsigned (anonymous) request
+ let content_sha256 = request
+ .headers()
+ .get("x-amz-content-sha256")
+ .filter(|c| c.as_bytes() != UNSIGNED_PAYLOAD.as_bytes());
+ if let Some(content_sha256) = content_sha256 {
let sha256 = hex::decode(content_sha256)
.ok()
.and_then(|bytes| Hash::try_from(&bytes))
.ok_or_bad_request("Invalid content sha256 hash")?;
- return Ok((None, Some(sha256)));
+ Ok((None, Some(sha256)))
} else {
- return Ok((None, None));
+ Ok((None, None))
}
- };
+ }
+}
+
+async fn check_standard_signature(
+ garage: &Garage,
+ service: &'static str,
+ request: &Request<IncomingBody>,
+ query: QueryMap,
+) -> Result<(Option<Key>, Option<Hash>), Error> {
+ let authorization = Authorization::parse_header(request.headers())?;
+
+ // Verify that all necessary request headers are included in signed_headers
+ // The following must be included for all signatures:
+ // - the Host header (mandatory)
+ // - all x-amz-* headers used in the request
+ // AWS also indicates that the Content-Type header should be signed if
+ // it is used, but Minio client doesn't sign it so we don't check it for compatibility.
+ let signed_headers = split_signed_headers(&authorization)?;
+ verify_signed_headers(request.headers(), &signed_headers)?;
let canonical_request = canonical_request(
service,
request.method(),
- request.uri(),
- &headers,
- &authorization.signed_headers,
+ request.uri().path(),
+ &query,
+ request.headers(),
+ &signed_headers,
&authorization.content_sha256,
+ )?;
+ let string_to_sign = string_to_sign(
+ &authorization.date,
+ &authorization.scope,
+ &canonical_request,
);
- let (_, scope) = parse_credential(&authorization.credential)?;
- let string_to_sign = string_to_sign(&authorization.date, &scope, &canonical_request);
trace!("canonical request:\n{}", canonical_request);
trace!("string to sign:\n{}", string_to_sign);
- let key = verify_v4(
- garage,
- service,
- &authorization.credential,
- &authorization.date,
- &authorization.signature,
- string_to_sign.as_bytes(),
- )
- .await?;
+ let key = verify_v4(garage, service, &authorization, string_to_sign.as_bytes()).await?;
- let content_sha256 = if authorization.content_sha256 == "UNSIGNED-PAYLOAD" {
+ let content_sha256 = if authorization.content_sha256 == UNSIGNED_PAYLOAD {
None
- } else if authorization.content_sha256 == "STREAMING-AWS4-HMAC-SHA256-PAYLOAD" {
+ } else if authorization.content_sha256 == STREAMING_AWS4_HMAC_SHA256_PAYLOAD {
let bytes = hex::decode(authorization.signature).ok_or_bad_request("Invalid signature")?;
Some(Hash::try_from(&bytes).ok_or_bad_request("Invalid signature")?)
} else {
@@ -88,124 +122,102 @@ pub async fn check_payload_signature(
Ok((Some(key), content_sha256))
}
-struct Authorization {
- credential: String,
- signed_headers: String,
- signature: String,
- content_sha256: String,
- date: DateTime<Utc>,
-}
-
-fn parse_authorization(
- authorization: &str,
- headers: &HashMap<String, String>,
-) -> Result<Authorization, Error> {
- let first_space = authorization
- .find(' ')
- .ok_or_bad_request("Authorization field to short")?;
- let (auth_kind, rest) = authorization.split_at(first_space);
-
- if auth_kind != "AWS4-HMAC-SHA256" {
- return Err(Error::bad_request("Unsupported authorization method"));
- }
-
- let mut auth_params = HashMap::new();
- for auth_part in rest.split(',') {
- let auth_part = auth_part.trim();
- let eq = auth_part
- .find('=')
- .ok_or_bad_request("Field without value in authorization header")?;
- let (key, value) = auth_part.split_at(eq);
- auth_params.insert(key.to_string(), value.trim_start_matches('=').to_string());
- }
-
- let cred = auth_params
- .get("Credential")
- .ok_or_bad_request("Could not find Credential in Authorization field")?;
-
- let content_sha256 = headers
- .get("x-amz-content-sha256")
- .ok_or_bad_request("Missing X-Amz-Content-Sha256 field")?;
-
- let date = headers
- .get("x-amz-date")
- .ok_or_bad_request("Missing X-Amz-Date field")
- .map_err(Error::from)
- .and_then(|d| parse_date(d))?;
+async fn check_presigned_signature(
+ garage: &Garage,
+ service: &'static str,
+ request: &mut Request<IncomingBody>,
+ mut query: QueryMap,
+) -> Result<(Option<Key>, Option<Hash>), Error> {
+ let algorithm = query.get(&X_AMZ_ALGORITHM).unwrap();
+ let authorization = Authorization::parse_presigned(&algorithm.value, &query)?;
+
+ // Verify that all necessary request headers are included in signed_headers
+ // For AWSv4 pre-signed URLs, the following must be incldued:
+ // - the Host header (mandatory)
+ // - all x-amz-* headers used in the request
+ let signed_headers = split_signed_headers(&authorization)?;
+ verify_signed_headers(request.headers(), &signed_headers)?;
+
+ // The X-Amz-Signature value is passed as a query parameter,
+ // but the signature cannot be computed from a string that contains itself.
+ // AWS specifies that all query params except X-Amz-Signature are included
+ // in the canonical request.
+ query.remove(&X_AMZ_SIGNATURE);
+ let canonical_request = canonical_request(
+ service,
+ request.method(),
+ request.uri().path(),
+ &query,
+ request.headers(),
+ &signed_headers,
+ &authorization.content_sha256,
+ )?;
+ let string_to_sign = string_to_sign(
+ &authorization.date,
+ &authorization.scope,
+ &canonical_request,
+ );
- if Utc::now() - date > Duration::hours(24) {
- return Err(Error::bad_request("Date is too old".to_string()));
+ trace!("canonical request (presigned url):\n{}", canonical_request);
+ trace!("string to sign (presigned url):\n{}", string_to_sign);
+
+ let key = verify_v4(garage, service, &authorization, string_to_sign.as_bytes()).await?;
+
+ // In the page on presigned URLs, AWS specifies that if a signed query
+ // parameter and a signed header of the same name have different values,
+ // then an InvalidRequest error is raised.
+ let headers_mut = request.headers_mut();
+ for (name, value) in query.iter() {
+ if let Some(existing) = headers_mut.get(name) {
+ if signed_headers.contains(&name) && existing.as_bytes() != value.value.as_bytes() {
+ return Err(Error::bad_request(format!(
+ "Conflicting values for `{}` in query parameters and request headers",
+ name
+ )));
+ }
+ }
+ if name.as_str().starts_with("x-amz-") {
+ // Query parameters that start by x-amz- are actually intended to stand in for
+ // headers that can't be added at the time the request is made.
+ // What we do is just add them to the Request object as regular headers,
+ // that will be handled downstream as if they were included like in a normal request.
+ // (Here we allow such query parameters to override headers with the same name
+ // that are not signed, however there is not much reason that this would happen)
+ headers_mut.insert(
+ name,
+ HeaderValue::from_bytes(value.value.as_bytes())
+ .ok_or_bad_request("invalid query parameter value")?,
+ );
+ }
}
- let auth = Authorization {
- credential: cred.to_string(),
- signed_headers: auth_params
- .get("SignedHeaders")
- .ok_or_bad_request("Could not find SignedHeaders in Authorization field")?
- .to_string(),
- signature: auth_params
- .get("Signature")
- .ok_or_bad_request("Could not find Signature in Authorization field")?
- .to_string(),
- content_sha256: content_sha256.to_string(),
- date,
- };
- Ok(auth)
+ // Presigned URLs always use UNSIGNED-PAYLOAD,
+ // so there is no sha256 hash to return.
+ Ok((Some(key), None))
}
-fn parse_query_authorization(
- algorithm: &str,
- headers: &HashMap<String, String>,
-) -> Result<Authorization, Error> {
- if algorithm != "AWS4-HMAC-SHA256" {
- return Err(Error::bad_request(
- "Unsupported authorization method".to_string(),
- ));
- }
-
- let cred = headers
- .get("x-amz-credential")
- .ok_or_bad_request("X-Amz-Credential not found in query parameters")?;
- let signed_headers = headers
- .get("x-amz-signedheaders")
- .ok_or_bad_request("X-Amz-SignedHeaders not found in query parameters")?;
- let signature = headers
- .get("x-amz-signature")
- .ok_or_bad_request("X-Amz-Signature not found in query parameters")?;
- let content_sha256 = headers
- .get("x-amz-content-sha256")
- .map(|x| x.as_str())
- .unwrap_or("UNSIGNED-PAYLOAD");
-
- let duration = headers
- .get("x-amz-expires")
- .ok_or_bad_request("X-Amz-Expires not found in query parameters")?
- .parse()
- .map_err(|_| Error::bad_request("X-Amz-Expires is not a number".to_string()))?;
-
- if duration > 7 * 24 * 3600 {
- return Err(Error::bad_request(
- "X-Amz-Expires may not exceed a week".to_string(),
- ));
- }
-
- let date = headers
- .get("x-amz-date")
- .ok_or_bad_request("Missing X-Amz-Date field")
- .map_err(Error::from)
- .and_then(|d| parse_date(d))?;
-
- if Utc::now() - date > Duration::seconds(duration) {
- return Err(Error::bad_request("Date is too old".to_string()));
+pub fn parse_query_map(uri: &http::uri::Uri) -> Result<QueryMap, Error> {
+ let mut query = QueryMap::with_capacity(0);
+ if let Some(query_str) = uri.query() {
+ let query_pairs = url::form_urlencoded::parse(query_str.as_bytes());
+ for (key, val) in query_pairs {
+ let name =
+ HeaderName::from_bytes(key.as_bytes()).ok_or_bad_request("Invalid header name")?;
+
+ let value = QueryValue {
+ key: key.to_string(),
+ value: val.into_owned(),
+ };
+
+ if query.insert(name, value).is_some() {
+ return Err(Error::bad_request(format!(
+ "duplicate query parameter: `{}`",
+ key
+ )));
+ }
+ }
}
-
- Ok(Authorization {
- credential: cred.to_string(),
- signed_headers: signed_headers.to_string(),
- signature: signature.to_string(),
- content_sha256: content_sha256.to_string(),
- date,
- })
+ Ok(query)
}
fn parse_credential(cred: &str) -> Result<(String, String), Error> {
@@ -219,11 +231,39 @@ fn parse_credential(cred: &str) -> Result<(String, String), Error> {
))
}
+fn split_signed_headers(authorization: &Authorization) -> Result<Vec<HeaderName>, Error> {
+ let mut signed_headers = authorization
+ .signed_headers
+ .split(';')
+ .map(HeaderName::try_from)
+ .collect::<Result<Vec<HeaderName>, _>>()
+ .ok_or_bad_request("invalid header name")?;
+ signed_headers.sort_by(|h1, h2| h1.as_str().cmp(h2.as_str()));
+ Ok(signed_headers)
+}
+
+fn verify_signed_headers(headers: &HeaderMap, signed_headers: &[HeaderName]) -> Result<(), Error> {
+ if !signed_headers.contains(&HOST) {
+ return Err(Error::bad_request("Header `Host` should be signed"));
+ }
+ for (name, _) in headers.iter() {
+ if name.as_str().starts_with("x-amz-") {
+ if !signed_headers.contains(name) {
+ return Err(Error::bad_request(format!(
+ "Header `{}` should be signed",
+ name
+ )));
+ }
+ }
+ }
+ Ok(())
+}
+
pub fn string_to_sign(datetime: &DateTime<Utc>, scope_string: &str, canonical_req: &str) -> String {
let mut hasher = Sha256::default();
hasher.update(canonical_req.as_bytes());
[
- "AWS4-HMAC-SHA256",
+ AWS4_HMAC_SHA256,
&datetime.format(LONG_DATETIME).to_string(),
scope_string,
&hex::encode(hasher.finalize().as_slice()),
@@ -234,11 +274,12 @@ pub fn string_to_sign(datetime: &DateTime<Utc>, scope_string: &str, canonical_re
pub fn canonical_request(
service: &'static str,
method: &Method,
- uri: &hyper::Uri,
- headers: &HashMap<String, String>,
- signed_headers: &str,
+ canonical_uri: &str,
+ query: &QueryMap,
+ headers: &HeaderMap,
+ signed_headers: &[HeaderName],
content_sha256: &str,
-) -> String {
+) -> Result<String, Error> {
// There seems to be evidence that in AWSv4 signatures, the path component is url-encoded
// a second time when building the canonical request, as specified in this documentation page:
// -> https://docs.aws.amazon.com/rolesanywhere/latest/userguide/authentication-sign-process.html
@@ -268,49 +309,46 @@ pub fn canonical_request(
// it mentions it in the comments (same link to the souce code as above).
// We make the explicit choice of NOT normalizing paths in the K2V API because doing so
// would make non-normalized paths invalid K2V partition keys, and we don't want that.
- let path: std::borrow::Cow<str> = if service != "s3" {
- uri_encode(uri.path(), false).into()
+ let canonical_uri: std::borrow::Cow<str> = if service != "s3" {
+ uri_encode(canonical_uri, false).into()
} else {
- uri.path().into()
+ canonical_uri.into()
};
- [
- method.as_str(),
- &path,
- &canonical_query_string(uri),
- &canonical_header_string(headers, signed_headers),
- "",
- signed_headers,
- content_sha256,
- ]
- .join("\n")
-}
-fn canonical_header_string(headers: &HashMap<String, String>, signed_headers: &str) -> String {
- let signed_headers_vec = signed_headers.split(';').collect::<Vec<_>>();
- let mut items = headers
- .iter()
- .filter(|(key, _)| signed_headers_vec.contains(&key.as_str()))
- .collect::<Vec<_>>();
- items.sort_by(|(k1, _), (k2, _)| k1.cmp(k2));
- items
- .iter()
- .map(|(key, value)| key.to_lowercase() + ":" + value.trim())
- .collect::<Vec<_>>()
- .join("\n")
-}
-
-fn canonical_query_string(uri: &hyper::Uri) -> String {
- if let Some(query) = uri.query() {
- let query_pairs = url::form_urlencoded::parse(query.as_bytes());
- let mut items = query_pairs
- .filter(|(key, _)| key != "X-Amz-Signature")
- .map(|(key, value)| uri_encode(&key, true) + "=" + &uri_encode(&value, true))
- .collect::<Vec<_>>();
+ // Canonical query string from passed HeaderMap
+ let canonical_query_string = {
+ let mut items = Vec::with_capacity(query.len());
+ for (_, QueryValue { key, value }) in query.iter() {
+ items.push(uri_encode(&key, true) + "=" + &uri_encode(&value, true));
+ }
items.sort();
items.join("&")
- } else {
- "".to_string()
- }
+ };
+
+ // Canonical header string calculated from signed headers
+ let canonical_header_string = signed_headers
+ .iter()
+ .map(|name| {
+ let value = headers
+ .get(name)
+ .ok_or_bad_request(format!("signed header `{}` is not present", name))?
+ .to_str()?;
+ Ok(format!("{}:{}", name.as_str(), value.trim()))
+ })
+ .collect::<Result<Vec<String>, Error>>()?
+ .join("\n");
+ let signed_headers = signed_headers.join(";");
+
+ let list = [
+ method.as_str(),
+ &canonical_uri,
+ &canonical_query_string,
+ &canonical_header_string,
+ "",
+ &signed_headers,
+ content_sha256,
+ ];
+ Ok(list.join("\n"))
}
pub fn parse_date(date: &str) -> Result<DateTime<Utc>, Error> {
@@ -322,38 +360,203 @@ pub fn parse_date(date: &str) -> Result<DateTime<Utc>, Error> {
pub async fn verify_v4(
garage: &Garage,
service: &str,
- credential: &str,
- date: &DateTime<Utc>,
- signature: &str,
+ auth: &Authorization,
payload: &[u8],
) -> Result<Key, Error> {
- let (key_id, scope) = parse_credential(credential)?;
-
- let scope_expected = compute_scope(date, &garage.config.s3_api.s3_region, service);
- if scope != scope_expected {
- return Err(Error::AuthorizationHeaderMalformed(scope.to_string()));
+ let scope_expected = compute_scope(&auth.date, &garage.config.s3_api.s3_region, service);
+ if auth.scope != scope_expected {
+ return Err(Error::AuthorizationHeaderMalformed(auth.scope.to_string()));
}
let key = garage
.key_table
- .get(&EmptyKey, &key_id)
+ .get(&EmptyKey, &auth.key_id)
.await?
.filter(|k| !k.state.is_deleted())
- .ok_or_else(|| Error::forbidden(format!("No such key: {}", &key_id)))?;
+ .ok_or_else(|| Error::forbidden(format!("No such key: {}", &auth.key_id)))?;
let key_p = key.params().unwrap();
let mut hmac = signing_hmac(
- date,
+ &auth.date,
&key_p.secret_key,
&garage.config.s3_api.s3_region,
service,
)
.ok_or_internal_error("Unable to build signing HMAC")?;
hmac.update(payload);
- let our_signature = hex::encode(hmac.finalize().into_bytes());
- if signature != our_signature {
- return Err(Error::forbidden("Invalid signature".to_string()));
+ let signature =
+ hex::decode(&auth.signature).map_err(|_| Error::forbidden("Invalid signature"))?;
+ if hmac.verify_slice(&signature).is_err() {
+ return Err(Error::forbidden("Invalid signature"));
}
Ok(key)
}
+
+// ============ Authorization header, or X-Amz-* query params =========
+
+pub struct Authorization {
+ key_id: String,
+ scope: String,
+ signed_headers: String,
+ signature: String,
+ content_sha256: String,
+ date: DateTime<Utc>,
+}
+
+impl Authorization {
+ fn parse_header(headers: &HeaderMap) -> Result<Self, Error> {
+ let authorization = headers
+ .get(AUTHORIZATION)
+ .ok_or_bad_request("Missing authorization header")?
+ .to_str()?;
+
+ let (auth_kind, rest) = authorization
+ .split_once(' ')
+ .ok_or_bad_request("Authorization field to short")?;
+
+ if auth_kind != AWS4_HMAC_SHA256 {
+ return Err(Error::bad_request("Unsupported authorization method"));
+ }
+
+ let mut auth_params = HashMap::new();
+ for auth_part in rest.split(',') {
+ let auth_part = auth_part.trim();
+ let eq = auth_part
+ .find('=')
+ .ok_or_bad_request("Field without value in authorization header")?;
+ let (key, value) = auth_part.split_at(eq);
+ auth_params.insert(key.to_string(), value.trim_start_matches('=').to_string());
+ }
+
+ let cred = auth_params
+ .get("Credential")
+ .ok_or_bad_request("Could not find Credential in Authorization field")?;
+ let signed_headers = auth_params
+ .get("SignedHeaders")
+ .ok_or_bad_request("Could not find SignedHeaders in Authorization field")?
+ .to_string();
+ let signature = auth_params
+ .get("Signature")
+ .ok_or_bad_request("Could not find Signature in Authorization field")?
+ .to_string();
+
+ let content_sha256 = headers
+ .get(X_AMZ_CONTENT_SH256)
+ .ok_or_bad_request("Missing X-Amz-Content-Sha256 field")?;
+
+ let date = headers
+ .get(X_AMZ_DATE)
+ .ok_or_bad_request("Missing X-Amz-Date field")
+ .map_err(Error::from)?
+ .to_str()?;
+ let date = parse_date(date)?;
+
+ if Utc::now() - date > Duration::hours(24) {
+ return Err(Error::bad_request("Date is too old".to_string()));
+ }
+
+ let (key_id, scope) = parse_credential(cred)?;
+ let auth = Authorization {
+ key_id,
+ scope,
+ signed_headers,
+ signature,
+ content_sha256: content_sha256.to_str()?.to_string(),
+ date,
+ };
+ Ok(auth)
+ }
+
+ fn parse_presigned(algorithm: &str, query: &QueryMap) -> Result<Self, Error> {
+ if algorithm != AWS4_HMAC_SHA256 {
+ return Err(Error::bad_request(
+ "Unsupported authorization method".to_string(),
+ ));
+ }
+
+ let cred = query
+ .get(&X_AMZ_CREDENTIAL)
+ .ok_or_bad_request("X-Amz-Credential not found in query parameters")?;
+ let signed_headers = query
+ .get(&X_AMZ_SIGNEDHEADERS)
+ .ok_or_bad_request("X-Amz-SignedHeaders not found in query parameters")?;
+ let signature = query
+ .get(&X_AMZ_SIGNATURE)
+ .ok_or_bad_request("X-Amz-Signature not found in query parameters")?;
+
+ let duration = query
+ .get(&X_AMZ_EXPIRES)
+ .ok_or_bad_request("X-Amz-Expires not found in query parameters")?
+ .value
+ .parse()
+ .map_err(|_| Error::bad_request("X-Amz-Expires is not a number".to_string()))?;
+
+ if duration > 7 * 24 * 3600 {
+ return Err(Error::bad_request(
+ "X-Amz-Expires may not exceed a week".to_string(),
+ ));
+ }
+
+ let date = query
+ .get(&X_AMZ_DATE)
+ .ok_or_bad_request("Missing X-Amz-Date field")?;
+ let date = parse_date(&date.value)?;
+
+ if Utc::now() - date > Duration::seconds(duration) {
+ return Err(Error::bad_request("Date is too old".to_string()));
+ }
+
+ let (key_id, scope) = parse_credential(&cred.value)?;
+ Ok(Authorization {
+ key_id,
+ scope,
+ signed_headers: signed_headers.value.clone(),
+ signature: signature.value.clone(),
+ content_sha256: UNSIGNED_PAYLOAD.to_string(),
+ date,
+ })
+ }
+
+ pub(crate) fn parse_form(params: &HeaderMap) -> Result<Self, Error> {
+ let algorithm = params
+ .get(X_AMZ_ALGORITHM)
+ .ok_or_bad_request("Missing X-Amz-Algorithm header")?
+ .to_str()?;
+ if algorithm != AWS4_HMAC_SHA256 {
+ return Err(Error::bad_request(
+ "Unsupported authorization method".to_string(),
+ ));
+ }
+
+ let credential = params
+ .get(X_AMZ_CREDENTIAL)
+ .ok_or_else(|| Error::forbidden("Garage does not support anonymous access yet"))?
+ .to_str()?;
+ let signature = params
+ .get(X_AMZ_SIGNATURE)
+ .ok_or_bad_request("No signature was provided")?
+ .to_str()?
+ .to_string();
+ let date = params
+ .get(X_AMZ_DATE)
+ .ok_or_bad_request("No date was provided")?
+ .to_str()?;
+ let date = parse_date(date)?;
+
+ if Utc::now() - date > Duration::hours(24) {
+ return Err(Error::bad_request("Date is too old".to_string()));
+ }
+
+ let (key_id, scope) = parse_credential(credential)?;
+ let auth = Authorization {
+ key_id,
+ scope,
+ signed_headers: "".to_string(),
+ signature,
+ content_sha256: UNSIGNED_PAYLOAD.to_string(),
+ date,
+ };
+ Ok(auth)
+ }
+}
diff --git a/src/api/signature/streaming.rs b/src/api/signature/streaming.rs
index a2a71f6b..e223d1b1 100644
--- a/src/api/signature/streaming.rs
+++ b/src/api/signature/streaming.rs
@@ -15,6 +15,11 @@ use super::{compute_scope, sha256sum, HmacSha256, LONG_DATETIME};
use crate::helpers::*;
use crate::signature::error::*;
+use crate::signature::payload::{
+ STREAMING_AWS4_HMAC_SHA256_PAYLOAD, X_AMZ_CONTENT_SH256, X_AMZ_DATE,
+};
+
+pub const AWS4_HMAC_SHA256_PAYLOAD: &str = "AWS4-HMAC-SHA256-PAYLOAD";
pub type ReqBody = BoxBody<Error>;
@@ -25,8 +30,8 @@ pub fn parse_streaming_body(
region: &str,
service: &str,
) -> Result<Request<ReqBody>, Error> {
- match req.headers().get("x-amz-content-sha256") {
- Some(header) if header == "STREAMING-AWS4-HMAC-SHA256-PAYLOAD" => {
+ match req.headers().get(X_AMZ_CONTENT_SH256) {
+ Some(header) if header == STREAMING_AWS4_HMAC_SHA256_PAYLOAD => {
let signature = content_sha256
.take()
.ok_or_bad_request("No signature provided")?;
@@ -39,7 +44,7 @@ pub fn parse_streaming_body(
let date = req
.headers()
- .get("x-amz-date")
+ .get(X_AMZ_DATE)
.ok_or_bad_request("Missing X-Amz-Date field")?
.to_str()?;
let date: NaiveDateTime = NaiveDateTime::parse_from_str(date, LONG_DATETIME)
@@ -75,7 +80,7 @@ fn compute_streaming_payload_signature(
content_sha256: Hash,
) -> Result<Hash, Error> {
let string_to_sign = [
- "AWS4-HMAC-SHA256-PAYLOAD",
+ AWS4_HMAC_SHA256_PAYLOAD,
&date.format(LONG_DATETIME).to_string(),
scope,
&hex::encode(previous_signature),
diff --git a/src/garage/tests/common/custom_requester.rs b/src/garage/tests/common/custom_requester.rs
index e5f4cca1..8e1eaa56 100644
--- a/src/garage/tests/common/custom_requester.rs
+++ b/src/garage/tests/common/custom_requester.rs
@@ -1,12 +1,15 @@
#![allow(dead_code)]
use std::collections::HashMap;
-use std::convert::TryFrom;
+use std::convert::{TryFrom, TryInto};
use chrono::{offset::Utc, DateTime};
use hmac::{Hmac, Mac};
use http_body_util::BodyExt;
use http_body_util::Full as FullBody;
+use hyper::header::{
+ HeaderMap, HeaderName, HeaderValue, AUTHORIZATION, CONTENT_ENCODING, CONTENT_LENGTH, HOST,
+};
use hyper::{Method, Request, Response, Uri};
use hyper_util::client::legacy::{connect::HttpConnector, Client};
use hyper_util::rt::TokioExecutor;
@@ -61,6 +64,10 @@ impl CustomRequester {
vhost_style: false,
}
}
+
+ pub fn client(&self) -> &Client<HttpConnector, Body> {
+ &self.client
+ }
}
pub struct RequestBuilder<'a> {
@@ -173,54 +180,85 @@ impl<'a> RequestBuilder<'a> {
.unwrap();
let streaming_signer = signer.clone();
- let mut all_headers = self.signed_headers.clone();
+ let mut all_headers = self
+ .signed_headers
+ .iter()
+ .map(|(k, v)| {
+ (
+ HeaderName::try_from(k).expect("invalid header name"),
+ HeaderValue::try_from(v).expect("invalid header value"),
+ )
+ })
+ .collect::<HeaderMap>();
let date = now.format(signature::LONG_DATETIME).to_string();
- all_headers.insert("x-amz-date".to_owned(), date);
- all_headers.insert("host".to_owned(), host);
+ all_headers.insert(
+ signature::payload::X_AMZ_DATE,
+ HeaderValue::from_str(&date).unwrap(),
+ );
+ all_headers.insert(HOST, HeaderValue::from_str(&host).unwrap());
let body_sha = match self.body_signature {
BodySignature::Unsigned => "UNSIGNED-PAYLOAD".to_owned(),
BodySignature::Classic => hex::encode(garage_util::data::sha256sum(&self.body)),
BodySignature::Streaming(size) => {
- all_headers.insert("content-encoding".to_owned(), "aws-chunked".to_owned());
all_headers.insert(
- "x-amz-decoded-content-length".to_owned(),
- self.body.len().to_string(),
+ CONTENT_ENCODING,
+ HeaderValue::from_str("aws-chunked").unwrap(),
+ );
+ all_headers.insert(
+ HeaderName::from_static("x-amz-decoded-content-length"),
+ HeaderValue::from_str(&self.body.len().to_string()).unwrap(),
);
// Get lenght of body by doing the conversion to a streaming body with an
// invalid signature (we don't know the seed) just to get its length. This
// is a pretty lazy and inefficient way to do it, but it's enought for test
// code.
all_headers.insert(
- "content-length".to_owned(),
+ CONTENT_LENGTH,
to_streaming_body(&self.body, size, String::new(), signer.clone(), now, "")
.len()
- .to_string(),
+ .to_string()
+ .try_into()
+ .unwrap(),
);
"STREAMING-AWS4-HMAC-SHA256-PAYLOAD".to_owned()
}
};
- all_headers.insert("x-amz-content-sha256".to_owned(), body_sha.clone());
+ all_headers.insert(
+ signature::payload::X_AMZ_CONTENT_SH256,
+ HeaderValue::from_str(&body_sha).unwrap(),
+ );
- let mut signed_headers = all_headers
- .keys()
- .map(|k| k.as_ref())
- .collect::<Vec<&str>>();
- signed_headers.sort();
- let signed_headers = signed_headers.join(";");
+ let mut signed_headers = all_headers.keys().cloned().collect::<Vec<_>>();
+ signed_headers.sort_by(|h1, h2| h1.as_str().cmp(h2.as_str()));
+ let signed_headers_str = signed_headers
+ .iter()
+ .map(ToString::to_string)
+ .collect::<Vec<_>>()
+ .join(";");
- all_headers.extend(self.unsigned_headers.clone());
+ all_headers.extend(self.unsigned_headers.iter().map(|(k, v)| {
+ (
+ HeaderName::try_from(k).expect("invalid header name"),
+ HeaderValue::try_from(v).expect("invalid header value"),
+ )
+ }));
+
+ let uri = Uri::try_from(&uri).unwrap();
+ let query = signature::payload::parse_query_map(&uri).unwrap();
let canonical_request = signature::payload::canonical_request(
self.service,
&self.method,
- &Uri::try_from(&uri).unwrap(),
+ uri.path(),
+ &query,
&all_headers,
&signed_headers,
&body_sha,
- );
+ )
+ .unwrap();
let string_to_sign = signature::payload::string_to_sign(&now, &scope, &canonical_request);
@@ -228,14 +266,15 @@ impl<'a> RequestBuilder<'a> {
let signature = hex::encode(signer.finalize().into_bytes());
let authorization = format!(
"AWS4-HMAC-SHA256 Credential={}/{},SignedHeaders={},Signature={}",
- self.requester.key.id, scope, signed_headers, signature
+ self.requester.key.id, scope, signed_headers_str, signature
+ );
+ all_headers.insert(
+ AUTHORIZATION,
+ HeaderValue::from_str(&authorization).unwrap(),
);
- all_headers.insert("authorization".to_owned(), authorization);
let mut request = Request::builder();
- for (k, v) in all_headers {
- request = request.header(k, v);
- }
+ *request.headers_mut().unwrap() = all_headers;
let body = if let BodySignature::Streaming(size) = self.body_signature {
to_streaming_body(&self.body, size, signature, streaming_signer, now, &scope)
diff --git a/src/garage/tests/s3/mod.rs b/src/garage/tests/s3/mod.rs
index 623eb665..4ebc4914 100644
--- a/src/garage/tests/s3/mod.rs
+++ b/src/garage/tests/s3/mod.rs
@@ -1,6 +1,7 @@
mod list;
mod multipart;
mod objects;
+mod presigned;
mod simple;
mod streaming_signature;
mod website;
diff --git a/src/garage/tests/s3/presigned.rs b/src/garage/tests/s3/presigned.rs
new file mode 100644
index 00000000..15270361
--- /dev/null
+++ b/src/garage/tests/s3/presigned.rs
@@ -0,0 +1,72 @@
+use std::time::{Duration, SystemTime};
+
+use crate::common;
+use aws_sdk_s3::presigning::PresigningConfig;
+use bytes::Bytes;
+use http_body_util::{BodyExt, Full};
+use hyper::Request;
+
+const STD_KEY: &str = "hello world";
+const BODY: &[u8; 62] = b"0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz";
+
+#[tokio::test]
+async fn test_presigned_url() {
+ let ctx = common::context();
+ let bucket = ctx.create_bucket("presigned");
+
+ let etag = "\"46cf18a9b447991b450cad3facf5937e\"";
+ let body = Bytes::from(BODY.to_vec());
+
+ let psc = PresigningConfig::builder()
+ .start_time(SystemTime::now() - Duration::from_secs(60))
+ .expires_in(Duration::from_secs(3600))
+ .build()
+ .unwrap();
+
+ {
+ // PutObject
+ let req = ctx
+ .client
+ .put_object()
+ .bucket(&bucket)
+ .key(STD_KEY)
+ .presigned(psc.clone())
+ .await
+ .unwrap();
+
+ let client = ctx.custom_request.client();
+ let req = Request::builder()
+ .method("PUT")
+ .uri(req.uri())
+ .body(Full::new(body.clone()))
+ .unwrap();
+ let res = client.request(req).await.unwrap();
+ assert_eq!(res.status(), 200);
+ assert_eq!(res.headers().get("etag").unwrap(), etag);
+ }
+
+ {
+ // GetObject
+ let req = ctx
+ .client
+ .get_object()
+ .bucket(&bucket)
+ .key(STD_KEY)
+ .presigned(psc)
+ .await
+ .unwrap();
+
+ let client = ctx.custom_request.client();
+ let req = Request::builder()
+ .method("GET")
+ .uri(req.uri())
+ .body(Full::new(Bytes::new()))
+ .unwrap();
+ let res = client.request(req).await.unwrap();
+ assert_eq!(res.status(), 200);
+ assert_eq!(res.headers().get("etag").unwrap(), etag);
+
+ let body2 = BodyExt::collect(res.into_body()).await.unwrap().to_bytes();
+ assert_eq!(body, body2);
+ }
+}
diff --git a/src/garage/tests/s3/streaming_signature.rs b/src/garage/tests/s3/streaming_signature.rs
index 224b9ed5..351aa422 100644
--- a/src/garage/tests/s3/streaming_signature.rs
+++ b/src/garage/tests/s3/streaming_signature.rs
@@ -26,7 +26,7 @@ async fn test_putobject_streaming() {
.builder(bucket.clone())
.method(Method::PUT)
.path(STD_KEY.to_owned())
- .unsigned_headers(headers)
+ .signed_headers(headers)
.vhost_style(true)
.body(vec![])
.body_signature(BodySignature::Streaming(10))
diff --git a/src/model/bucket_table.rs b/src/model/bucket_table.rs
index 4c48a76f..1dbdfac2 100644
--- a/src/model/bucket_table.rs
+++ b/src/model/bucket_table.rs
@@ -191,6 +191,13 @@ impl Bucket {
}
}
+ pub fn present(id: Uuid, params: BucketParams) -> Self {
+ Bucket {
+ id,
+ state: crdt::Deletable::present(params),
+ }
+ }
+
/// Returns true if this represents a deleted bucket
pub fn is_deleted(&self) -> bool {
self.state.is_deleted()
diff --git a/src/net/Cargo.toml b/src/net/Cargo.toml
index df81c437..4bd0d2e5 100644
--- a/src/net/Cargo.toml
+++ b/src/net/Cargo.toml
@@ -3,7 +3,7 @@ name = "garage_net"
version = "0.10.0"
authors = ["Alex Auvolat <alex@adnab.me>"]
edition = "2018"
-license-file = "AGPL-3.0"
+license = "AGPL-3.0"
description = "Networking library for Garage RPC communication, forked from Netapp"
repository = "https://git.deuxfleurs.fr/Deuxfleurs/garage"
readme = "../../README.md"
diff --git a/src/web/web_server.rs b/src/web/web_server.rs
index 0f9b5dc8..69939f65 100644
--- a/src/web/web_server.rs
+++ b/src/web/web_server.rs
@@ -26,7 +26,7 @@ use garage_api::s3::cors::{add_cors_headers, find_matching_cors_rule, handle_opt
use garage_api::s3::error::{
CommonErrorDerivative, Error as ApiError, OkOrBadRequest, OkOrInternalError,
};
-use garage_api::s3::get::{handle_get, handle_head};
+use garage_api::s3::get::{handle_get_without_ctx, handle_head_without_ctx};
use garage_model::garage::Garage;
@@ -219,14 +219,13 @@ impl WebServer {
// Check bucket isn't deleted and has website access enabled
let bucket = self
.garage
- .bucket_table
- .get(&EmptyKey, &bucket_id)
- .await?
- .ok_or(Error::NotFound)?;
+ .bucket_helper()
+ .get_existing_bucket(bucket_id)
+ .await
+ .map_err(|_| Error::NotFound)?;
+ let bucket_params = bucket.state.into_option().unwrap();
- let website_config = bucket
- .params()
- .ok_or(Error::NotFound)?
+ let website_config = bucket_params
.website_config
.get()
.as_ref()
@@ -243,14 +242,16 @@ impl WebServer {
);
let ret_doc = match *req.method() {
- Method::OPTIONS => handle_options_for_bucket(req, &bucket)
+ Method::OPTIONS => handle_options_for_bucket(req, &bucket_params)
.map_err(ApiError::from)
.map(|res| res.map(|_empty_body: EmptyBody| empty_body())),
- Method::HEAD => handle_head(self.garage.clone(), &req, bucket_id, &key, None).await,
+ Method::HEAD => {
+ handle_head_without_ctx(self.garage.clone(), req, bucket_id, &key, None).await
+ }
Method::GET => {
- handle_get(
+ handle_get_without_ctx(
self.garage.clone(),
- &req,
+ req,
bucket_id,
&key,
None,
@@ -301,7 +302,7 @@ impl WebServer {
.body(empty_body::<Infallible>())
.unwrap();
- match handle_get(
+ match handle_get_without_ctx(
self.garage.clone(),
&req2,
bucket_id,
@@ -344,7 +345,7 @@ impl WebServer {
}
Ok(mut resp) => {
// Maybe add CORS headers
- if let Some(rule) = find_matching_cors_rule(&bucket, req)? {
+ if let Some(rule) = find_matching_cors_rule(&bucket_params, req)? {
add_cors_headers(&mut resp, rule)
.ok_or_internal_error("Invalid bucket CORS configuration")?;
}